Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces

Download Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 366 pages
Book Rating : 4.:/5 (917 download)

DOWNLOAD NOW!


Book Synopsis Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces by : Liwang Ye

Download or read book Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces written by Liwang Ye and published by . This book was released on 2014 with total page 366 pages. Available in PDF, EPUB and Kindle. Book excerpt: The quality of the dielectric/semiconductor interface is one of the most critical parameters for the fabrication of high-speed and low-power-consumption III-V semiconductor based metal-oxide-semiconductor field effect transistors (MOSFETs), as it determines the device performance. This dissertation contains investigations of the deposition and interface of binary oxide films on GaAs(100) and InAs(100) surfaces aiming at understanding the removal of the surface native oxides during certain atomic layer deposition (ALD) processes. To accomplish that, two complementary experimental approaches have been used. Initially, films were deposited in a conventional ALD reactor and characterized ex situ using spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM), and atomic force microscopy (AFM). The systems examined were Ta2O5 on GaAs(100) surfaces from pentakis(dimethylamino) tantalum (Ta(N(CH3)2)5, PDMAT) and TiO2 on GaAs(100) and InAs(100) surfaces from tetrakis(dimethylamino) titanium (Ti(N(CH3)2)4, TDMAT). For these systems, deposition at the optimal ALD temperature resulted in practically sharp interfaces. Indium oxides were found to diffuse through ~ 6 nm of TiO2 film and accumulate on the topmost film layer. For the ALD of Ta2O5 on GaAs(100) surfaces, native oxide removal was enhanced at deposition temperatures above the ALD window; for ALD of TiO2 on both GaAs(100) and InAs(100) surfaces, native oxide removal was enhanced as the deposition temperatures increased up to 250 °C, while oxidation of the interface was observed for deposition above 300 °C due to the formation of noncontinuous films. To elucidate the surface reactions occurring during the deposition, an in situ attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy apparatus was constructed and used to investigate the surface reactions during the ALD of TiO2 and HfO2 on GaAs(100) surfaces. The existence of a ligand exchange mechanism was verified for both processes. Additionally, the formation of methylmethyleneimine (CH3N=CH2, MMI) was observed, indicating the existence of a beta hydride reaction pathway. Additionally, at 275 °C continuous removal of arsenic oxides was observed during the first 20 process cycles, an observation that challenges the prevailing understanding of the native oxide removal and indicates a much more complex surface chemistry.

Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices

Download Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (859 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices by : Jaesoo Ahn

Download or read book Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices written by Jaesoo Ahn and published by . This book was released on 2013 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: III-V compound semiconductor channels coated by high-k dielectrics are the subject of intense interest for high performance metal-oxide-semiconductor (MOS) devices beyond the 11nm technology node. In0.53Ga0.47As and atomic layer deposited (ALD) Al2O3 are among the leading candidates for high-[kappa]/III-V n-channel MOS devices because of their high electron mobility and relatively low interface defect density compared to other deposited dielectrics. However, preventing formation of native oxides and developing a stable interface with a lower density of electrically active defects have been a long-standing challenge for III-V based MOS field-effect devices. In0.53Ga0.47As(100) channel surfaces that were initially covered with a protective As2-layer are thermally decapped in situ in the high vacuum ALD reactor at the substrate temperature of 360 ~ 390°C. The end point of decapping is determined by observation of a completed chamber pressure pulse during As2 desorption and confirmed by the binding energy shift ( -0.7 eV) of As 3d peaks measured in x-ray photoelectron spectroscopy. A substantial fraction of the initially-present interface defects and border traps can be passivated by post-metallization forming gas anneal. The gate electrode deposited by thermal evaporation appears to result in a lower metal oxide/InGaAs interface defect density than does of electron beam evaporation of the same gate metals. Thermal desorption conditions for a protective As2 layer on the surface of the as-grown InGaAs channels and dosing of trimethylaluminum (TMA) prior to Al2O3 ALD are varied to alter the interface trap densities (Dit). The InGaAs(100) decapped at 460°C shows a higher density of interface defects in the InGaAs bandgap compared to the decapping at 370°C. TMA pre-dosing (large dose of TMA prior to the start of ALD-Al2O3) reduces the Dit distribution across the bandgap of InGaAs. It is suggested that TMA needs to be dosed at low temperatures (200°C or below) when the As2 cap is desorbed at 460°C, whereas temperature independence of TMA pre-dosing is observed on the InGaAs surfaces decapped at 370°C, consistent with previously reported scanning tunneling spectroscopy results. Water vapor pre-dosing in addition to the TMA pre-dosing can suppress the conduction band edge states by removing In-Ga bonds on the InGaAs (100) surface. Gate dielectric deposition and post-dielectric thermal processing during III-V MOS device fabrication can result in undesirable chemical reactions at the dielectric/channel interface. The oxidation of an In0.53Ga0.47As (100) surface through overlying ultrathin ALD-Al2O3 layers is investigated using x-ray photoelectron spectroscopy (XPS). A strong gallium oxide (Ga2O3) feature is observed in the Ga 3p core level of the InGaAs surface after the Al2O3/InGaAs is annealed at 500°C for 20 min in oxygen. The peak intensity of Ga-oxide component is reduced as the Al2O3 thickness increases from 1 nm to 2 nm and no Ga-oxide is detected in XPS when the oxide layer is 2.5 nm thick. The InGaAs surface oxidation also occurs through a 1.2 nm Al2O3 when H2O vapor is pulsed for 10 seconds at 300°C, increasing the interface defect density across the InGaAs bandgap. ALD-HfO2 deposition on ~1 nm Al2O3/InGaAs layer can produce XPS detectable signatures of InGaAs surface oxidation. TMA pre-dosing prior to ALD-HfO2 deposition is capable of suppressing InGaAs surface oxidation. The electrical properties of ALD-TiO2/Al2O3 bilayer gate oxides which simultaneously achieve high gate capacitance density and low gate leakage current density are discussed in the last part of this dissertation. The maximum accumulation capacitance of the bilayer gate stack increases by 33 % after the FGA at 400°C for 30 min, which can be attributed to the crystallization of the initially-amorphous TiO2 film. The bilayer dielectrics reduce gate leakage current density by approximately one order of magnitude at flatband compared to Al2O3 single layer of comparable capacitance equivalent thickness. The conduction band offset of TiO2 relative to InGaAs is 0.6 eV, contributing to the ability of the stacked dielectric to suppress gate leakage conduction. TMA pre-dosing or ultrathin (~5 ALD cycles) Al2O3 layer deposition prior to ALD-TiO2 and oxygen anneal at relatively low temperature can be beneficial for reduction of the gate leakage current of the TiO2 layer.

Impact of Chemical Surface Preparation on the Atomic Layer Deposition of High-kappa Dielectrics on Iii-v Materials

Download Impact of Chemical Surface Preparation on the Atomic Layer Deposition of High-kappa Dielectrics on Iii-v Materials PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 392 pages
Book Rating : 4.:/5 (914 download)

DOWNLOAD NOW!


Book Synopsis Impact of Chemical Surface Preparation on the Atomic Layer Deposition of High-kappa Dielectrics on Iii-v Materials by : Wilfredo Cabrera

Download or read book Impact of Chemical Surface Preparation on the Atomic Layer Deposition of High-kappa Dielectrics on Iii-v Materials written by Wilfredo Cabrera and published by . This book was released on 2014 with total page 392 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces

Download Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 168 pages
Book Rating : 4.:/5 (547 download)

DOWNLOAD NOW!


Book Synopsis Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces by : Jonathon Boyd Clemens

Download or read book Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces written by Jonathon Boyd Clemens and published by . This book was released on 2010 with total page 168 pages. Available in PDF, EPUB and Kindle. Book excerpt: The surface reconstructions of InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) were investigated at 300 K and 80 K. At 300 K, the surfaces reconstruct to form the group III rich [beta]3'(4 x 2) reconstructions and at 80 K, the surfaces reconstruct to form the [beta]3'(4 x 4) reconstruction. A novel hybridization scheme is required for these reconstructions. Oxidation of the InAs(0 0 1)-(4 x 2) surface by O2 was studied and it was determined that this occurs via an autocatalytic process, displacing surface As. Oxidation is initiated on the group III rows of the surface, but the autocatalysis is in competition with a thermodynamic stability that limits the size of oxidation sites in the low coverage regime. Two methods for the formation of an interface between the high- & kappa; dielectric, HfO2 on InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) were examined: reactive oxidation of Hf metal by O2 and electron beam deposition of HfO2. Reactive oxidation of Hf metal is problematic, but e− beam deposition of HfO2 showed that the p-type pinning behavior of In0.53Ga0.4--As(0 0 1)-(4 x 2) can be at least partially removed. Several oxygen atomic layer deposition (ALD) precursors were studied for the reaction (ALD initiation step) of high-[kappa] oxide growth on the InAs(0 0 1)-(4 x 2) surface : water, hydrogen peroxide, and isopropyl alcohol. All of these O precursors showed displacement reactions occurring on the III-V surfaces, but proceeded to varying degrees and by different pathways. Water displaces As, but does not fully oxidize it, HOOH etches the semiconductor surface at all temperatures, and isopropyl alcohol shows chemisorption site selectivity at 100 °C. The reaction of the ALD precursor, trimethyl aluminum (TMA) on InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) was studied. An ordered, self-terminating single monolayer reaction occurs in the high coverage regime on both semiconductor surfaces. The p-type pinning behavior observed on the clean In0.53Ga0.4--As(0 0 1)-(4 x 2) surface is removed upon formation of the TMA-induced reaction; the surface was passivated for Al2O3 growth via metal-first ALD initiation. The major surface analytical techniques used were scanning tunneling microscopy and spectroscopy, low energy electron diffraction, Auger electron spectroscopy, and x-ray photoelectron spectroscopy.

Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces

Download Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 360 pages
Book Rating : 4.:/5 (964 download)

DOWNLOAD NOW!


Book Synopsis Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces by : Alex J. Henegar

Download or read book Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces written by Alex J. Henegar and published by . This book was released on 2015 with total page 360 pages. Available in PDF, EPUB and Kindle. Book excerpt: Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics.

Fundamental Gas-phase and Surface Chemistry of Vapor-phase Deposition II and Process Control, Diagnostics and Modeling in Semiconductor Manufacturing IV

Download Fundamental Gas-phase and Surface Chemistry of Vapor-phase Deposition II and Process Control, Diagnostics and Modeling in Semiconductor Manufacturing IV PDF Online Free

Author :
Publisher : The Electrochemical Society
ISBN 13 : 9781566773195
Total Pages : 526 pages
Book Rating : 4.7/5 (731 download)

DOWNLOAD NOW!


Book Synopsis Fundamental Gas-phase and Surface Chemistry of Vapor-phase Deposition II and Process Control, Diagnostics and Modeling in Semiconductor Manufacturing IV by : Electrochemical Society. High Temperature Materials Division

Download or read book Fundamental Gas-phase and Surface Chemistry of Vapor-phase Deposition II and Process Control, Diagnostics and Modeling in Semiconductor Manufacturing IV written by Electrochemical Society. High Temperature Materials Division and published by The Electrochemical Society. This book was released on 2001 with total page 526 pages. Available in PDF, EPUB and Kindle. Book excerpt:

III–V Compound Semiconductors and Devices

Download III–V Compound Semiconductors and Devices PDF Online Free

Author :
Publisher : Springer Nature
ISBN 13 : 3030519031
Total Pages : 537 pages
Book Rating : 4.0/5 (35 download)

DOWNLOAD NOW!


Book Synopsis III–V Compound Semiconductors and Devices by : Keh Yung Cheng

Download or read book III–V Compound Semiconductors and Devices written by Keh Yung Cheng and published by Springer Nature. This book was released on 2020-11-08 with total page 537 pages. Available in PDF, EPUB and Kindle. Book excerpt: This textbook gives a complete and fundamental introduction to the properties of III-V compound semiconductor devices, highlighting the theoretical and practical aspects of their device physics. Beginning with an introduction to the basics of semiconductor physics, it presents an overview of the physics and preparation of compound semiconductor materials, as well as a detailed look at the electrical and optical properties of compound semiconductor heterostructures. The book concludes with chapters dedicated to a number of heterostructure electronic and photonic devices, including the high-electron-mobility transistor, the heterojunction bipolar transistor, lasers, unipolar photonic devices, and integrated optoelectronic devices. Featuring chapter-end problems, suggested references for further reading, as well as clear, didactic schematics accompanied by six information-rich appendices, this textbook is ideal for graduate students in the areas of semiconductor physics or electrical engineering. In addition, up-to-date results from published research make this textbook especially well-suited as a self-study and reference guide for engineers and researchers in related industries.

Surface and Interface Characterization of High-K Dielectric Materials on III-Sb Semiconductor Substrates

Download Surface and Interface Characterization of High-K Dielectric Materials on III-Sb Semiconductor Substrates PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 284 pages
Book Rating : 4.:/5 (854 download)

DOWNLOAD NOW!


Book Synopsis Surface and Interface Characterization of High-K Dielectric Materials on III-Sb Semiconductor Substrates by : Dmitry M. Zhernokletov

Download or read book Surface and Interface Characterization of High-K Dielectric Materials on III-Sb Semiconductor Substrates written by Dmitry M. Zhernokletov and published by . This book was released on 2013 with total page 284 pages. Available in PDF, EPUB and Kindle. Book excerpt: Interface formation between high-k dielectric oxide materials and semiconductor surfaces is of critical importance to the development of the next generation of metal-oxide-semiconductor field effect transistors (MOSFETs) and tunnel field effect transistors (TFETs). This work investigates the deposition and characterization of a range of candidate high-k materials on III-Sb (InSb and GaSb) semiconductor substrates, with the focus on interface formation. The characterization techniques used to study the interface were X-ray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED), ion scattering spectroscopy, while atomic force microscopy (AFM) was used in some experiments for surface roughness measurements. The first part of this study evaluates the in-situ evolution of aluminum oxide (Al 2 O3 ) on indium antimonide (InSb) and gallium antimonide (GaSb) surfaces discussing preparation methods prior to dielectric deposition aimed at removing the native oxides and passivating the surfaces while keeping surface roughness to a minimum. For InSb surface the optimization of the ammonium sulphide (NH 4 ) 2 S passivation treatment was investigated in terms of the effectiveness at native oxide removal and minimizing defect generation. The interactions between hafnium oxide (HfO2 ) on HCl acid etched and (NH4 ) 2 S treated GaSb surfaces as well as Al2 O3 evolution on oxide free GaSb substrate were examined in the second part. A crystalline oxide/InAs(100) interface, as a potential path to avoid interface defect formation, was also investigated in term of stability upon Al2 O3 deposition and air exposure.

Surface Reaction Mechanisms During the Atomic Layer Deposition of Silicon-based Dielectrics

Download Surface Reaction Mechanisms During the Atomic Layer Deposition of Silicon-based Dielectrics PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 186 pages
Book Rating : 4.:/5 (13 download)

DOWNLOAD NOW!


Book Synopsis Surface Reaction Mechanisms During the Atomic Layer Deposition of Silicon-based Dielectrics by : Rafaiel A. Ovanesyan

Download or read book Surface Reaction Mechanisms During the Atomic Layer Deposition of Silicon-based Dielectrics written by Rafaiel A. Ovanesyan and published by . This book was released on 2018 with total page 186 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide

Download Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (12 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide by : Yuanxia Zheng

Download or read book Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide written by Yuanxia Zheng and published by . This book was released on 2017 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Two topics related to atomic layer deposition (ALD) have been studied in this thesis. One is the challenging task of integrating high-k dielectric on germanium (Ge) surface. The other is utilizing an ALD approach to synthesize transition metal dichalcogenide (TMD) of 1T-TaS2.The surface preparation primarily using in-situ H2 plasma to obtain pristine Ge surfaces has been investigated. The reaction mechanism and the resultant material properties have been examined carefully using in-situ and ex-situ metrologies. An optimized process has been proposed and resulted in an oxygen-free and atomically flat Ge surface. The nucleation behavior of Al2O3 ALD was investigated on Ge surfaces with two different chemicals states, hydrogenated and oxidized. The growth mode and the resultant dielectric/Ge interface properties have been clarified using in-situ and ex-situ metrologies. By comparing the experimental results with an atomic scale simulations (from collaborators), the reaction mechanism as well as the thermodynamic properties have been identified. A trilayer dielectric gate stack of HfO2/Al2O3/GeOx has been used to electrically test the aforementioned mechanisms of dielectric ALD on Ge. The optimum process has yielded a highly scaled Ge MOSCap device with superior interface qualities. 1T-TaS2 thin films has been synthesized using TaCl5 and H2S as the precursors in a home-made ALD system. A strong temperature dependence has been identified. A use of ultrathin Ta2O5 seed layer has been found beneficial to facilitate the nucleation of 1T-TaS2. ALD growth at a high temperature of 480 C has yielded the optimum results. Ferroelectric HfO2 has also been synthesized as the gate insulator for the future transistor fabrication using 1T-TaS2 as the channel material. A process flow for Al-doped HfO2 primarily using ALD approach in conjunction with magnetron sputtering has been developed. The electrical properties for various doping levels have evaluated using electrical polarization measurements.

Investigating Area-selective Atomic Layer Deposition of Dielectric on Diverse Substrate Systems

Download Investigating Area-selective Atomic Layer Deposition of Dielectric on Diverse Substrate Systems PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (132 download)

DOWNLOAD NOW!


Book Synopsis Investigating Area-selective Atomic Layer Deposition of Dielectric on Diverse Substrate Systems by : Tzu-Ling Liu

Download or read book Investigating Area-selective Atomic Layer Deposition of Dielectric on Diverse Substrate Systems written by Tzu-Ling Liu and published by . This book was released on 2022 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: The manufacturing process for semiconductor devices has become increasingly complex and costly due to bottlenecks with conventional top-down fabrication methods. Hence, researchers are looking for alternative approaches to address these issues. Area-selective atomic layer deposition (AS-ALD) is attracting growing research interest because of its ability to form patterned materials in a bottom-up fashion. To achieve AS-ALD, self-assembled monolayers (SAMs) are commonly used as inhibitors to block ALD processes. SAM molecules can spontaneously form ordered structures on specific surfaces depending on the headgroup of the molecule. By selecting the right type of SAM headgroup depending on the substrate materials, selective SAM formation on specific areas of patterned substrates can be achieved, leading to successful achievement of AS-ALD. Although SAM-assisted AS-ALD is promising, challenges remain in developing more robust AS-ALD systems with broader applicability. In the first part of this thesis, dodecanethiol (DDT) is used as the inhibitor for AS-ALD on metal/dielectric substrates. We show that a DDT passivation layer forms only on Cu but not on SiO2 or low-k dielectric surfaces, thus allowing AS-ALD of ZnO and Al2O3 on the dielectric regions of metal/dielectric patterned substrates to be achieved. By conducting DDT deposition on slightly oxidized Cu surfaces which consist mainly of Cu2O, we further show that the packing of the DDT SAM can be enhanced, leading to improvement of the ALD blocking ability. In addition, because thiols have been shown to form multilayer structures on CuO-covered Cu substrates, the impact of the DDT structure, i.e., monolayer vs. multilayer, on both the blocking ability and edge effects at the interface of metal/dielectric patterns during AS-ALD is studied. The results indicate that multilayer DDT blocks ZnO ALD more effectively than does monolayer DDT, while monolayer DDT is more efficacious in inhibiting Al2O3 ALD. The study on the interfacial effect in patterns with feature sizes of 20-100 nm shows that multilayer DDT can lead to some undesired effects: inhibition of ZnO at the SiO2 edges and nonuniform ZnO deposition on SiO2 regions (much thinner ZnO film at small pitch sizes). On the other hand, ZnO grows uniformly on SiO2 regions without the above-mentioned issues when monolayer DDT is used. The second part of the thesis focuses on developing new AS-ALD systems. We demonstrate that an octadecyltrimethoxysilane (OTMS) SAM is selectively formed on SiO2 of Cu/SiO2 patterns only when DDT is first used as the protector on Cu surfaces to prevent OTMS adsorption on Cu. After selective removal of the DDT protector by thermal treatment prior to ALD, AS-ALD of Al2O3 and ZnO on Cu regions of the patterns is demonstrated. In addition to selective deposition onto metal-dielectric patterns, AS-ALD on more general material systems, for which chemically similar materials are present on the substrate surface at the same time, is investigated. We achieve the selective formation of octadecylphosphonic acid (ODPA) SAMs on various metal oxide substrates, including Al2O3, HfO2, TiO2 and Ta2O5, over SiO2 by relying on the different reactivity of the ODPA headgroup on these oxide surfaces. AS-ALD is then demonstrated with SiO2 as the growth surface and the other four metal oxides as the nongrowth surface. To further obtain selectivity in more general systems, the solvent and deposition time for ODPA SAM formation are optimized. We show that selective deposition of ZnO and Al2O3 ALD between different metal oxide substrates can be achieved, with especially good selectivity between Al2O3 (growth surface) vs HfO2 (nongrowth surface). In the final part of this thesis, the breakdown behavior of SAM inhibitors in AS-ALD is investigated. The octadecyltrichlorosilane (ODTS) SAM paired with Al2O3 and ZnO ALD is used as the model system for the SAM inhibitor and ALD process, respectively. The results show that no notable changes in the crystallinity and structure (thickness, density and roughness) of ODTS SAMs are observed until a significant amount of ALD nucleation occurs. The study also reveals different morphologies of the ALD materials deposited on top of ODTS SAMs. Al2O3 tends to form a relatively continuous film whereas ZnO forms dispersed nanoparticles. Overall, the studies presented in this thesis provide greater understanding of AS-ALD and open up more opportunities for new applications of AS-ALD.

Etching Chemistry of III-V Semiconductors and the Development of Surface Roughness

Download Etching Chemistry of III-V Semiconductors and the Development of Surface Roughness PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 0 pages
Book Rating : 4.:/5 (946 download)

DOWNLOAD NOW!


Book Synopsis Etching Chemistry of III-V Semiconductors and the Development of Surface Roughness by :

Download or read book Etching Chemistry of III-V Semiconductors and the Development of Surface Roughness written by and published by . This book was released on 1998 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: This research addresses the need for fundamental information concerning halogen etching of III-V semiconductors. The reactions of halogens with semiconductor surfaces are the fundamental chemical interactions in processes employed for device manufacture. In this work, the reactions of XeF2, Cl2 and I2 with III-V semiconductor surfaces were investigated with synchrotron-based soft x-ray photoelectron spectroscopy, low energy electron diffraction and scanning tunneling microscopy. Fluorine reaction grows films of group III fluorides, which can be used as dielectric materials. Chlorine is the most widely used halogen for dry etching, while iodine has been proposed for use as a "gentle" etchant. We found that, for all of the halogen reactions, the initial adsorption depends on the surface reconstruction, stoichiometry and condition. Some surfaces passivate, while others spontaneously etch at room temperature. The passivated surfaces are well-ordered and covered with approximately one monolayer of adsorbed halogen. The etched surfaces, on the other hand, are considerably rough and atomically disordered. A microscopic model is proposed which assumes that halogen atoms preferentially adsorb onto a group III atom if the surface is initially well-ordered. This microscopic model can explain why certain surfaces etch, while others form ordered overlayers.

Electronic Processes on Semiconductor Surfaces during Chemisorption

Download Electronic Processes on Semiconductor Surfaces during Chemisorption PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461536561
Total Pages : 457 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Electronic Processes on Semiconductor Surfaces during Chemisorption by : T. Wolkenstein

Download or read book Electronic Processes on Semiconductor Surfaces during Chemisorption written by T. Wolkenstein and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 457 pages. Available in PDF, EPUB and Kindle. Book excerpt: "Hands are useless if there are no eyes to see what is obvious." -M. V. Lomonosov Dear Reader, I invite you to open this book and step on the semiconductor surface, where the processes that form the subject of the book come into play. The surface of the semiconductor is attracting more and more interest among researchers, in fact researchers in two different fields. These are notably the physicists and engineers engaged in research in semi conductor physics and the making of semiconductor devices. The entire industry of semiconductor instruments hinges on the problem of the surface. The quality of semiconductor devices, whose use is growing steadily, depends essentially on the properties of the surface. The instability of these properties and their uncon trollable alterations with temperature and under the influence of environmental conditions result in a lack of stability in the performance of semiconductor devices, hence the high percentage of waste in their industrial production. The methods used in factory laboratories to prevent such waste are largely empirical. The properties of the surface, the nature of the physicochemical processes that take place on it, and the role of environmental factors still remain obscure. A major task of the semiconductor industry is to learn to control the properties of the surface.

Understanding Inhibitor-based Area Selective Atomic Layer Deposition for Dielectric-on-dielectric Growth

Download Understanding Inhibitor-based Area Selective Atomic Layer Deposition for Dielectric-on-dielectric Growth PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (115 download)

DOWNLOAD NOW!


Book Synopsis Understanding Inhibitor-based Area Selective Atomic Layer Deposition for Dielectric-on-dielectric Growth by : Dara A. Bobb-Semple

Download or read book Understanding Inhibitor-based Area Selective Atomic Layer Deposition for Dielectric-on-dielectric Growth written by Dara A. Bobb-Semple and published by . This book was released on 2020 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Electronic device fabrication today is based on 'top-down' processes with multiple lithography and etching steps. As semiconductor dimensions shrink and adopt more complex architectures, these processes are reaching their limits. One promising solution is area-selective atomic layer deposition (AS-ALD), which combines a surface modification technique and atomic layer deposition (ALD) in a 'bottom-up' approach to nanopatterning. A common approach for achieving AS-ALD involves the use of densely-packed self-assembled monolayers (SAMs) as inhibiting layers against undesired ALD growth. Incorporating this technique into fabrication schemes requires an understanding of how SAMs interact with different surfaces and how this in turn affects the ability of the SAM to act as an effective inhibitor against ALD reactions. In the first part of this thesis, a comparative study of octadecylphosphonic acid (ODPA) SAM formation on four technologically relevant metals-- Cu, Co, W and Ru--was carried out. Following SAM deposition, zinc oxide (ZnO) and aluminum oxide (Al2O3) model ALD processes were performed to assess the blocking ability of the SAM layer formed on each substrate. Surface characterization of these samples revealed that ODPA-treated Cu, Co and W inhibited the growth of ZnO and Al2O3 ALD to varying degrees, while no inhibition was observed on ODPA-treated Ru. Specifically, the ODPA-treated W prevented the growth of at least 17 nm of ZnO, compared to less than half this amount on Co and Cu. Similarly, 3-4 times more Al2O3 ALD was blocked using ODPA on W versus Cu and Co. The reaction mechanism of ODPA SAM formation and how surface properties, such as the roughness and the Lewis acid nature of the substrate, could impact that SAM's formation and in turn ALD inhibition is discussed. In the second part, a series of spectroscopic analyses were performed which provide details about the structure and coverage of the ODPA layer formed on each substrate. It was determined that the average tilt angle of the ODPA chains formed on Co, Cu and W are ~66° from the surface plane of the substrate, indicating that (on average) the molecules are oriented upright. Conversely, ODPA chains on the Ru substrate were found to be disordered. Further, it was determined that ODPA on Co and Cu formed a densely packed 'crystal-like' structure while on W and Ru, the ODPA molecules appeared to exhibit a more 'liquid-like' structure. The data indicate that although ODPA forms an incomplete layer on W, it still provides the best blocking against ZnO and Al2O3. The results highlight the importance of the chemical nature of the substrate surface in achieving SAM-based AS-ALD. Finally, since the overarching goal of this research is to achieve AS-ALD on metal/dielectric patterns (which mimic interconnect devices), the selective growth of ZnO and Al2O3 was demonstrated on Cu/SiO2 and Co/SiO2 2D-patterned substrates. Challenges in achieving SAM-assisted selective ALD and methods to improve the process on smaller feature sizes are also discussed.

In Situ Studies of the Surface Chemistry Reactions Involved in Gas-phase Deposition and Etching of Thin Dielectric Films

Download In Situ Studies of the Surface Chemistry Reactions Involved in Gas-phase Deposition and Etching of Thin Dielectric Films PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (114 download)

DOWNLOAD NOW!


Book Synopsis In Situ Studies of the Surface Chemistry Reactions Involved in Gas-phase Deposition and Etching of Thin Dielectric Films by : Luis Fabián Peña Orduña

Download or read book In Situ Studies of the Surface Chemistry Reactions Involved in Gas-phase Deposition and Etching of Thin Dielectric Films written by Luis Fabián Peña Orduña and published by . This book was released on 2018 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: In this dissertation, key aspects of the surface chemistry associated with gas phase deposition and etching are discussed. Atomic layer deposition (ALD) is a gas-phase deposition technique primarily known for its superior self-limiting binary process that affords precise control, uniform and conformal thin film growth. Despite the extensive work done with ALD, the mechanisms behind nucleation and steady state growth remain unclear for many ALD processes. Additionally, in an effort to meet today's device integration requirements, e.g., scaling down nanostructures and thermal budget restrictions during film deposition, thermal ALD processes requiring high temperatures (>300 C) are now being forced out of production due to adverse thermally induced side effects, e.g., device degradation. To address this challenge and promote reactivity at low temperatures (

Directory of Graduate Research

Download Directory of Graduate Research PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 1932 pages
Book Rating : 4.:/5 (318 download)

DOWNLOAD NOW!


Book Synopsis Directory of Graduate Research by : American Chemical Society. Committee on Professional Training

Download or read book Directory of Graduate Research written by American Chemical Society. Committee on Professional Training and published by . This book was released on 2005 with total page 1932 pages. Available in PDF, EPUB and Kindle. Book excerpt: Faculties, publications and doctoral theses in departments or divisions of chemistry, chemical engineering, biochemistry and pharmaceutical and/or medicinal chemistry at universities in the United States and Canada.

Polarization and Electronic State Configuration of III-N Surfaces and Plasma-enhanced Atomic Layer Deposited Dielectric Interfaces

Download Polarization and Electronic State Configuration of III-N Surfaces and Plasma-enhanced Atomic Layer Deposited Dielectric Interfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 343 pages
Book Rating : 4.:/5 (919 download)

DOWNLOAD NOW!


Book Synopsis Polarization and Electronic State Configuration of III-N Surfaces and Plasma-enhanced Atomic Layer Deposited Dielectric Interfaces by : Brianna Eller

Download or read book Polarization and Electronic State Configuration of III-N Surfaces and Plasma-enhanced Atomic Layer Deposited Dielectric Interfaces written by Brianna Eller and published by . This book was released on 2015 with total page 343 pages. Available in PDF, EPUB and Kindle. Book excerpt: GaN and AlGaN have shown great potential in next-generation power and RF electronics. However, these devices are limited by reliability issues such as leakage current and current collapse that result from surface and interface states on GaN and AlGaN. This dissertation, therefore, examined these electronic states, focusing on the following two points: First, the surface electronic state configuration was examined with regards to the polarization bound 1013 charges/cm2 that increases with aluminum content. This large bound charge requires compensation either externally by surface states or internally by the space charge regions as relates to band bending. In this work, band bending was measured after different surface treatments of GaN and AlGaN to determine the effects of specific surface states on the electronic state configuration. Results showed oxygen-terminated N-face GaN, Ga-face GaN, and Ga-face Al0.25Ga0.75N surface were characterized by similar band bending regardless of the polarization bound charge, suggesting a Fermi level pinning state ~0.4-0.8 eV below the conduction band minimum. On oxygen-free Ga-face GaN, Al0.15Ga0.85N, Al0.25Ga0.75N, and Al0.35Ga0.65N, band bending increased slightly with aluminum content and thus did not exhibit the same pinning behavior; however, there was still significant compensating charge on these surfaces (~1013 charges/cm2). This charge is likely related to nitrogen vacancies and/or gallium dangling bonds. In addition, this work investigated the interface electronic state configuration of dielectric/GaN and AlGaN interfaces with regards to deposition conditions and aluminum content. Specifically, oxygen plasma-enhanced atomic layer deposited (PEALD) was used to deposit SiO2. Growth temperature was shown to influence the film quality, where room temperature deposition produced the highest quality films in terms of electrical breakdown. In addition, the valence band offsets (VBOs) appeared to decrease with the deposition temperature, which likely related to an electric field across the Ga2O3 interfacial layer. VBOs were also determined with respect to aluminum content at the PEALD-SiO2/AlxGa1-xN interface, giving 3.0, 2.9, 2.9, and 2.8 eV for 0%, 15%, 25%, and 35% aluminum content, respectivelywith corresponding conduction band offsets of 2.5, 2.2, 1.9, and 1.8 eV. This suggests the largest difference manifests in the conduction band, which is in agreement with the charge neutrality level model.