ASIC System Design with VHDL: A Paradigm

Download ASIC System Design with VHDL: A Paradigm PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461564735
Total Pages : 221 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis ASIC System Design with VHDL: A Paradigm by : Steven S. Leung

Download or read book ASIC System Design with VHDL: A Paradigm written by Steven S. Leung and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 221 pages. Available in PDF, EPUB and Kindle. Book excerpt: Beginning in the mid 1980's, VLSI technology had begun to advance in two directions. Pushing the limit of integration, ULSI (Ultra Large Scale Integration) represents the frontier of the semiconductor processing technology in the campaign to conquer the submicron realm. The application of ULSI, however, is at present largely confined in the area of memory designs, and as such, its impact on traditional, microprocessor-based system design is modest. If advancement in this direction is merely a natural extrapolation from the previous integration generations, then the rise of ASIC (Application-Specific Integrated Circuit) is an unequivocal signal that a directional change in the discipline of system design is in effect. In contrast to ULSI, ASIC employs only well proven technology, and hence is usually at least one generation behind the most advanced processing technology. In spite of this apparent disadvantage, ASIC has become the mainstream of VLSI design and the technology base of numerous entrepreneurial opportunities ranging from PC clones to supercomputers. Unlike ULSI whose complexity can be hidden inside a memory chip or a standard component and thus can be accommodated by traditional system design methods, ASIC requires system designers to master a much larger body of knowledge spanning from processing technology and circuit techniques to architecture principles and algorithm characteristics. Integrating knowledge in these various areas has become the precondition for integrating devices and functions into an ASIC chip in a market-oriented environment. But knowledge is of two kinds.

ASIC system design with VHDL

Download ASIC system design with VHDL PDF Online Free

Author :
Publisher :
ISBN 13 : 9784924903081
Total Pages : 314 pages
Book Rating : 4.9/5 (3 download)

DOWNLOAD NOW!


Book Synopsis ASIC system design with VHDL by : Steven S. Leung

Download or read book ASIC system design with VHDL written by Steven S. Leung and published by . This book was released on 1993 with total page 314 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Quick-Turnaround ASIC Design in VHDL

Download Quick-Turnaround ASIC Design in VHDL PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461314119
Total Pages : 191 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Quick-Turnaround ASIC Design in VHDL by : N. Bouden-Romdhane

Download or read book Quick-Turnaround ASIC Design in VHDL written by N. Bouden-Romdhane and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 191 pages. Available in PDF, EPUB and Kindle. Book excerpt: From the Foreword..... Modern digital signal processing applications provide a large challenge to the system designer. Algorithms are becoming increasingly complex, and yet they must be realized with tight performance constraints. Nevertheless, these DSP algorithms are often built from many constituent canonical subtasks (e.g., IIR and FIR filters, FFTs) that can be reused in other subtasks. Design is then a problem of composing these core entities into a cohesive whole to provide both the intended functionality and the required performance. In order to organize the design process, there have been two major approaches. The top-down approach starts with an abstract, concise, functional description which can be quickly generated. On the other hand, the bottom-up approach starts from a detailed low-level design where performance can be directly assessed, but where the requisite design and interface detail take a long time to generate. In this book, the authors show a way to effectively resolve this tension by retaining the high-level conciseness of VHDL while parameterizing it to get good fit to specific applications through reuse of core library components. Since they build on a pre-designed set of core elements, accurate area, speed and power estimates can be percolated to high- level design routines which explore the design space. Results are impressive, and the cost model provided will prove to be very useful. Overall, the authors have provided an up-to-date approach, doing a good job at getting performance out of high-level design. The methodology provided makes good use of extant design tools, and is realistic in terms of the industrial design process. The approach is interesting in its own right, but is also of direct utility, and it will give the existing DSP CAD tools a highly competitive alternative. The techniques described have been developed within ARPAs RASSP (Rapid Prototyping of Application Specific Signal Processors) project, and should be of great interest there, as well as to many industrial designers. Professor Jonathan Allen, Massachusetts Institute of Technology

Hardware Design and Simulation in VAL/VHDL

Download Hardware Design and Simulation in VAL/VHDL PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461540429
Total Pages : 325 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Hardware Design and Simulation in VAL/VHDL by : Larry M. Augustin

Download or read book Hardware Design and Simulation in VAL/VHDL written by Larry M. Augustin and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 325 pages. Available in PDF, EPUB and Kindle. Book excerpt: The VHSIC Hardware Description Language (VHDL) provides a standard machine processable notation for describing hardware. VHDL is the result of a collaborative effort between IBM, Intermetrics, and Texas Instruments; sponsored by the Very High Speed Integrated Cir cuits (VHSIC) program office of the Department of Defense, beginning in 1981. Today it is an IEEE standard (1076-1987), and several simulators and other automated support tools for it are available commercially. By providing a standard notation for describing hardware, especially in the early stages of the hardware design process, VHDL is expected to reduce both the time lag and the cost involved in building new systems and upgrading existing ones. VHDL is the result of an evolutionary approach to language devel opment starting with high level hardware description languages existing in 1981. It has a decidedly programming language flavor, resulting both from the orientation of hardware languages of that time, and from a ma jor requirement that VHDL use Ada constructs wherever appropriate. During the 1980's there has been an increasing current of research into high level specification languages for systems, particularly in the software area, and new methods of utilizing specifications in systems de velopment. This activity is worldwide and includes, for example, object oriented design, various rigorous development methods, mathematical verification, and synthesis from high level specifications. VAL (VHDL Annotation Language) is a simple further step in the evolution of hardware description languages in the direction of applying new methods that have developed since VHDL was designed.

VHDL Designer’s Reference

Download VHDL Designer’s Reference PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461534984
Total Pages : 469 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis VHDL Designer’s Reference by : Jean-Michel Bergé

Download or read book VHDL Designer’s Reference written by Jean-Michel Bergé and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 469 pages. Available in PDF, EPUB and Kindle. Book excerpt: too vast, too complex, too grand ... for description. John Wesley Powell-1870 (discovering the Grand Canyon) VHDL is a big world. A beginner can be easily disappointed by the generality of this language. This generality is explained by the large number of domains covered - from specifications to logical simulation or synthesis. To the very beginner, VHDL appears as a "kit". He is quickly aware that his problem may be solved with VHDL, but does not know how. He does not even know how to start. In this state of mind, all the constraints that can be set to his modeling job, by using a subset of the language or a given design methodology, may be seen as a life preserver. The success of the introduction of VHDL in a company depends on solutions to many questions that should be answered months before the first line of code is written: • Why choose VHDL? • Which VHDL tools should be chosen? • Which modeling methodology should be adopted? • How should the VHDL environment be customized? • What are the tricks? Where are the traps? • What are the differences between VHDL and other competing HDLs? Answers to these questions are organized according to different concerns: buying the tools, organizing the environment, and designing. Decisions taken in each of these areas may have many consequences on the way to the acceptance and efficiently use of VHDL in a company.

A Guide to VHDL

Download A Guide to VHDL PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461532167
Total Pages : 325 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis A Guide to VHDL by : Stanley Mazor

Download or read book A Guide to VHDL written by Stanley Mazor and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 325 pages. Available in PDF, EPUB and Kindle. Book excerpt: A Guide to VHDL, Second Edition is intended for the working engineer who needs to develop, document, simulate, and synthesize a design using the VHDL language. It is for system and chip designers who are working with VHDL CAD tools, and who have some experience programming in Fortran, Pascal, or C and have used a logic simulator. A Guide to VHDL, Second Edition includes a number of paper exercises and computer lab experiments. If a compiler/simulator is available to the reader, then the lab exercises included in the chapters can be run to reinforce the learning experience. For practical purposes, this book keeps simulator-specific text to a minimum, but does use the Synopsys VHDL Simulator command language in a few cases. A Guide to VHDL, Second Edition is designed as a primer and its contents are appropriate for an introductory course in VHDL. The VHDL language was updated in 1992 with some minor improvements. In most cases, the language is upward compatible. Although this book is based primarily on the VHDL 1987 standard, this new second edition indicates the significant changes in the 1992 language to assist the designer in writing upwardly compatible code.

Formal Methods in Computer-Aided Design

Download Formal Methods in Computer-Aided Design PDF Online Free

Author :
Publisher : Springer
ISBN 13 : 3540495193
Total Pages : 538 pages
Book Rating : 4.5/5 (44 download)

DOWNLOAD NOW!


Book Synopsis Formal Methods in Computer-Aided Design by : Ganesh Gopalakrishnan

Download or read book Formal Methods in Computer-Aided Design written by Ganesh Gopalakrishnan and published by Springer. This book was released on 2003-07-31 with total page 538 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book constitutes the refereed proceedings of the Second International Conference on Formal Methods in Computer-Aided Design, FMCAD '98, held in Palo Alto, California, USA, in November 1998. The 27 revised full papers presented were carefully reviewed and selected from a total of 55 submissions. Also included are four tools papers and four invited contributions. The papers present the state of the art in formal verification methods for digital circuits and systems, including processors, custom VLSI circuits, microcode, and reactive software. From the methodological point of view, binary decision diagrams, model checking, symbolic reasoning, symbolic simulation, and abstraction methods are covered.

Advances in Hardware Design and Verification

Download Advances in Hardware Design and Verification PDF Online Free

Author :
Publisher : Springer
ISBN 13 : 0387351906
Total Pages : 311 pages
Book Rating : 4.3/5 (873 download)

DOWNLOAD NOW!


Book Synopsis Advances in Hardware Design and Verification by : Hon Li

Download or read book Advances in Hardware Design and Verification written by Hon Li and published by Springer. This book was released on 2016-01-09 with total page 311 pages. Available in PDF, EPUB and Kindle. Book excerpt: CHARM '97 is the ninth in a series of working conferences devoted to the development and use of formal techniques in digital hardware design and verification. This series is held in collaboration with IFIP WG 10.5. Previous meetings were held in Europe every other year.

Synchronization Design for Digital Systems

Download Synchronization Design for Digital Systems PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461539900
Total Pages : 184 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Synchronization Design for Digital Systems by : Teresa H. Meng

Download or read book Synchronization Design for Digital Systems written by Teresa H. Meng and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 184 pages. Available in PDF, EPUB and Kindle. Book excerpt: Synchronization is one of the important issues in digital system design. While other approaches have always been intriguing, up until now synchro nous operation using a common clock has been the dominant design philo sophy. However, we have reached the point, with advances in technology, where other options should be given serious consideration. This is because the clock periods are getting much smaller in relation to the interconnect propagation delays, even within a single chip and certainly at the board and backplane level. To a large extent, this problem can be overcome with care ful clock distribution in synchronous design, and tools for computer-aided design of clock distribution. However, this places global constraints on the design, making it necessary, for example, to redesign the clock distribution each time any part of the system is changed. In this book, some alternative approaches to synchronization in digital sys tem design are described and developed. We owe these techniques to a long history of effort in both digital system design and in digital communica tions, the latter field being relevant because large propagation delays have always been a dominant consideration in design. While synchronous design is discussed and contrasted to the other techniques in Chapter 6, the dom inant theme of this book is alternative approaches.

Fundamentals and Standards in Hardware Description Languages

Download Fundamentals and Standards in Hardware Description Languages PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 9401119147
Total Pages : 471 pages
Book Rating : 4.4/5 (11 download)

DOWNLOAD NOW!


Book Synopsis Fundamentals and Standards in Hardware Description Languages by : Jean Mermet

Download or read book Fundamentals and Standards in Hardware Description Languages written by Jean Mermet and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 471 pages. Available in PDF, EPUB and Kindle. Book excerpt: The second half of this century will remain as the era of proliferation of electronic computers. They did exist before, but they were mechanical. During next century they may perform other mutations to become optical or molecular or even biological. Actually, all these aspects are only fancy dresses put on mathematical machines. This was always recognized to be true in the domain of software, where "machine" or "high level" languages are more or less rigourous, but immaterial, variations of the universaly accepted mathematical language aimed at specifying elementary operations, functions, algorithms and processes. But even a mathematical machine needs a physical support, and this is what hardware is all about. The invention of hardware description languages (HDL's) in the early 60's, was an attempt to stay longer at an abstract level in the design process and to push the stage of physical implementation up to the moment when no more technology independant decisions can be taken. It was also an answer to the continuous, exponential growth of complexity of systems to be designed. This problem is common to hardware and software and may explain why the syntax of hardware description languages has followed, with a reasonable delay of ten years, the evolution of the programming languages: at the end of the 60's they were" Algol like" , a decade later "Pascal like" and now they are "C or ADA-like". They have also integrated the new concepts of advanced software specification languages.

Iterative Identification and Restoration of Images

Download Iterative Identification and Restoration of Images PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461539803
Total Pages : 215 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Iterative Identification and Restoration of Images by : Reginald L. Lagendijk

Download or read book Iterative Identification and Restoration of Images written by Reginald L. Lagendijk and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 215 pages. Available in PDF, EPUB and Kindle. Book excerpt: One of the most intriguing questions in image processing is the problem of recovering the desired or perfect image from a degraded version. In many instances one has the feeling that the degradations in the image are such that relevant information is close to being recognizable, if only the image could be sharpened just a little. This monograph discusses the two essential steps by which this can be achieved, namely the topics of image identification and restoration. More specifically the goal of image identifi cation is to estimate the properties of the imperfect imaging system (blur) from the observed degraded image, together with some (statistical) char acteristics of the noise and the original (uncorrupted) image. On the basis of these properties the image restoration process computes an estimate of the original image. Although there are many textbooks addressing the image identification and restoration problem in a general image processing setting, there are hardly any texts which give an indepth treatment of the state-of-the-art in this field. This monograph discusses iterative procedures for identifying and restoring images which have been degraded by a linear spatially invari ant blur and additive white observation noise. As opposed to non-iterative methods, iterative schemes are able to solve the image restoration problem when formulated as a constrained and spatially variant optimization prob In this way restoration results can be obtained which outperform the lem. results of conventional restoration filters.

Low-Noise Wide-Band Amplifiers in Bipolar and CMOS Technologies

Download Low-Noise Wide-Band Amplifiers in Bipolar and CMOS Technologies PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1475721269
Total Pages : 219 pages
Book Rating : 4.4/5 (757 download)

DOWNLOAD NOW!


Book Synopsis Low-Noise Wide-Band Amplifiers in Bipolar and CMOS Technologies by : Zhong Yuan Chong

Download or read book Low-Noise Wide-Band Amplifiers in Bipolar and CMOS Technologies written by Zhong Yuan Chong and published by Springer Science & Business Media. This book was released on 2013-03-09 with total page 219 pages. Available in PDF, EPUB and Kindle. Book excerpt: Analog circuit design has grown in importance because so many circuits cannot be realized with digital techniques. Examples are receiver front-ends, particle detector circuits, etc. Actually, all circuits which require high precision, high speed and low power consumption need analog solutions. High precision also needs low noise. Much has been written already on low noise design and optimization for low noise. Very little is available however if the source is not resistive but capacitive or inductive as is the case with antennas or semiconductor detectors. This book provides design techniques for these types of optimization. This book is thus intended firstly for engineers on senior or graduate level who have already designed their first operational amplifiers and want to go further. It is especially for engineers who do not want just a circuit but the best circuit. Design techniques are given that lead to the best performance within a certain technology. Moreover, this is done for all important technologies such as bipolar, CMOS and BiCMOS. Secondly, this book is intended for engineers who want to understand what they are doing. The design techniques are intended to provide insight. In this way, the design techniques can easily be extended to other circuits as well. Also, the design techniques form a first step towards design automation. Thirdly, this book is intended for analog design engineers who want to become familiar with both bipolar and CMOS technologies and who want to learn more about which transistor to choose in BiCMOS.

Computational Electronics

Download Computational Electronics PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1475721242
Total Pages : 273 pages
Book Rating : 4.4/5 (757 download)

DOWNLOAD NOW!


Book Synopsis Computational Electronics by : Karl Hess

Download or read book Computational Electronics written by Karl Hess and published by Springer Science & Business Media. This book was released on 2013-03-14 with total page 273 pages. Available in PDF, EPUB and Kindle. Book excerpt: Large computational resources are of ever increasing importance for the simulation of semiconductor processes, devices and integrated circuits. The Workshop on Computational Electronics was intended to be a forum for the dis cussion of the state-of-the-art of device simulation. Three major research areas were covered: conventional simulations, based on the drift-diffusion and the hydrodynamic models; Monte Carlo methods and other techniques for the solution of the Boltzmann transport equation; and computational approaches to quantum transport which are relevant to novel devices based on quantum interference and resonant tunneling phenomena. Our goal was to bring together researchers from various disciplines that contribute to the advancement of device simulation. These include Computer Sci ence, Electrical Engineering, Applied Physics and Applied Mathematics. The suc cess of this multidisciplinary formula was proven by numerous interactions which took place at the Workshop and during the following three-day Short Course on Computational Electronics. The format of the course, including a number of tutorial lectures, and the large attendance of graduate students, stimulated many discussions and has proven to us once more the importance of cross-fertilization between the different disciplines.

Subband Image Coding

Download Subband Image Coding PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1475721196
Total Pages : 365 pages
Book Rating : 4.4/5 (757 download)

DOWNLOAD NOW!


Book Synopsis Subband Image Coding by : John W. Woods

Download or read book Subband Image Coding written by John W. Woods and published by Springer Science & Business Media. This book was released on 2013-06-29 with total page 365 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book concerns a new method of image data compression which weil may supplant the well-established block-transfonn methods that have been state-of-the art for the last 15 years. Subband image coding or SBC was first perfonned as such in 1985, and as the results became known at first through conference proceedings, and later through journal papers, the research community became excited about both the theoretical and practical aspects of this new approach. This excitement is continuing today, with many major research laboratories and research universities around the world investigating the subband approach to coding of color images, high resolution images, video- including video conferencing and advanced tele vision, and the medical application of picture archiving systems. Much of the fruits of this work is summarized in the eight chapters of this book which were written by leading practitioners in this field. The subband approach to image coding starts by passing the image through a two- or three-dimensional filter bank. The two-dimensional (2-D) case usually is hierarchical' consisting of two stages of four filters each. Thus the original image is split into 16 subband images, with each one decimated or subsampled by 4x4, resulting in a data conservation. The individual channel data is then quantized ·for digital transmission. In an attractive variation an octave-like approach, herein tenned subband pyramid, is taken for the decomposition resulting in a total of just eleven subbands.

Gallium Arsenide Digital Circuits

Download Gallium Arsenide Digital Circuits PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315417
Total Pages : 198 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Gallium Arsenide Digital Circuits by : Omar Wing

Download or read book Gallium Arsenide Digital Circuits written by Omar Wing and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 198 pages. Available in PDF, EPUB and Kindle. Book excerpt: Gallium Arsenide technology has come of age. GaAs integrated circuits are available today as gate arrays with an operating speed in excess of one Gigabits per second. Special purpose GaAs circuits are used in optical fiber digital communications systems for the purpose of regeneration, multiplexing and switching of the optical signals. As advances in fabrication and packaging techniques are made, the operat ing speed will further increase and the cost of production will reach a point where large scale application of GaAs circuits will be economical in these and other systems where speed is paramount. This book is written for students and engineers who wish to enter into this new field of electronics for the first time and who wish to embark on a serious study of the subject of GaAs circuit design. No prior knowledge of GaAs technology is assumed though some previous experience with MOS circuit design will be helpful. A good part of the book is devoted to circuit analysis, to the extent that is possible for non linear circuits. The circuit model of the GaAs transistor is derived from first principles and analytic formulas useful in predicting the approxi mate circuit performance are also derived. Computer simulation is used throughout the book to show the expected performance and to study the effects of parameter variations.

VLSI Design of Neural Networks

Download VLSI Design of Neural Networks PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461539943
Total Pages : 346 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis VLSI Design of Neural Networks by : Ulrich Ramacher

Download or read book VLSI Design of Neural Networks written by Ulrich Ramacher and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 346 pages. Available in PDF, EPUB and Kindle. Book excerpt: The early era of neural network hardware design (starting at 1985) was mainly technology driven. Designers used almost exclusively analog signal processing concepts for the recall mode. Learning was deemed not to cause a problem because the number of implementable synapses was still so low that the determination of weights and thresholds could be left to conventional computers. Instead, designers tried to directly map neural parallelity into hardware. The architectural concepts were accordingly simple and produced the so called interconnection problem which, in turn, made many engineers believe it could be solved by optical implementation in adequate fashion only. Furthermore, the inherent fault-tolerance and limited computation accuracy of neural networks were claimed to justify that little effort is to be spend on careful design, but most effort be put on technology issues. As a result, it was almost impossible to predict whether an electronic neural network would function in the way it was simulated to do. This limited the use of the first neuro-chips for further experimentation, not to mention that real-world applications called for much more synapses than could be implemented on a single chip at that time. Meanwhile matters have matured. It is recognized that isolated definition of the effort of analog multiplication, for instance, would be just as inappropriate on the part ofthe chip designer as determination of the weights by simulation, without allowing for the computing accuracy that can be achieved, on the part of the user.

Neural Networks and Speech Processing

Download Neural Networks and Speech Processing PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461539501
Total Pages : 402 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Neural Networks and Speech Processing by : David P. Morgan

Download or read book Neural Networks and Speech Processing written by David P. Morgan and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 402 pages. Available in PDF, EPUB and Kindle. Book excerpt: We would like to take this opportunity to thank all of those individ uals who helped us assemble this text, including the people of Lockheed Sanders and Nestor, Inc., whose encouragement and support were greatly appreciated. In addition, we would like to thank the members of the Lab oratory for Engineering Man-Machine Systems (LEMS) and the Center for Neural Science at Brown University for their frequent and helpful discussions on a number of topics discussed in this text. Although we both attended Brown from 1983 to 1985, and had offices in the same building, it is surprising that we did not meet until 1988. We also wish to thank Kluwer Academic Publishers for their profes sionalism and patience, and the reviewers for their constructive criticism. Thanks to John McCarthy for performing the final proof, and to John Adcock, Chip Bachmann, Deborah Farrow, Nathan Intrator, Michael Perrone, Ed Real, Lance Riek and Paul Zemany for their comments and assistance. We would also like to thank Khrisna Nathan, our most unbi ased and critical reviewer, for his suggestions for improving the content and accuracy of this text. A special thanks goes to Steve Hoffman, who was instrumental in helping us perform the experiments described in Chapter 9.