Algorithms and Techniques for VLSI Layout Synthesis

Download Algorithms and Techniques for VLSI Layout Synthesis PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 146131707X
Total Pages : 221 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Algorithms and Techniques for VLSI Layout Synthesis by : Dwight Hill

Download or read book Algorithms and Techniques for VLSI Layout Synthesis written by Dwight Hill and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 221 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book describes a system of VLSI layout tools called IDA which stands for "Integrated Design Aides. " It is not a main-line production CAD environment, but neither is it a paper tool. Rather, IDA is an experimental environment that serves to test out CAD ideas in the crucible of real chip design. Many features have been tried in IDA over the years, some successfully, some not. This book will emphasize the former, and attempt to describe the features that have been useful and effective in building real chips. Before discussing the present state of IDA, it may be helpful to understand how the project got started. Although Bell Labs has traditionally had a large and effective effort in VLSI and CAD, researchers at the Murray Hill facility wanted to study the process of VLSI design independently, emphasizing the idea of small team chip building. So, in 1979 they invited Carver Mead to present his views on MOS chip design, complete with the now famous "lambda" design rules and "tall, thin designers. " To support this course, Steve Johnson (better known for YACC and the portable C compiler) and Sally Browning invented the constraint based "i" language and wrote a compiler for it. A small collection of layout tools developed rapidly around this compiler, including design rule checkers, editors and simulators.

ALGORITHMS VLSI DESIGN AUTOMATION

Download ALGORITHMS VLSI DESIGN AUTOMATION PDF Online Free

Author :
Publisher : John Wiley & Sons
ISBN 13 : 9788126508211
Total Pages : 344 pages
Book Rating : 4.5/5 (82 download)

DOWNLOAD NOW!


Book Synopsis ALGORITHMS VLSI DESIGN AUTOMATION by : Gerez

Download or read book ALGORITHMS VLSI DESIGN AUTOMATION written by Gerez and published by John Wiley & Sons. This book was released on 2006-06 with total page 344 pages. Available in PDF, EPUB and Kindle. Book excerpt: Market_Desc: · Electrical Engineering Students taking courses on VLSI systems, CAD tools for VLSI, Design Automation at Final Year or Graduate Level, Computer Science courses on the same topics, at a similar level· Practicing Engineers wishing to learn the state of the art in VLSI Design Automation· Designers of CAD tools for chip design in software houses or large electronics companies. Special Features: · Probably the first book on Design Automation for VLSI Systems which covers all stages of design from layout synthesis through logic synthesis to high-level synthesis· Clear, precise presentation of examples, well illustrated with over 200 figures· Focus on algorithms for VLSI design tools means it will appeal to some Computer Science as well as Electrical Engineering departments About The Book: Enrollments in VLSI design automation courses are not large but it's a very popular elective, especially for those seeking a career in the microelectronics industry. Already the reviewers seem very enthusiastic about the coverage of the book being a better match for their courses than available competitors, because it covers all design phases. It has plenty of worked problems and a large no. of illustrations. It's a good 'list-builder' title that matches our strategy of focusing on topics that lie on the interface between Elec Eng and Computer Science.

Machine Learning in VLSI Computer-Aided Design

Download Machine Learning in VLSI Computer-Aided Design PDF Online Free

Author :
Publisher : Springer
ISBN 13 : 3030046664
Total Pages : 694 pages
Book Rating : 4.0/5 (3 download)

DOWNLOAD NOW!


Book Synopsis Machine Learning in VLSI Computer-Aided Design by : Ibrahim (Abe) M. Elfadel

Download or read book Machine Learning in VLSI Computer-Aided Design written by Ibrahim (Abe) M. Elfadel and published by Springer. This book was released on 2019-03-15 with total page 694 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book provides readers with an up-to-date account of the use of machine learning frameworks, methodologies, algorithms and techniques in the context of computer-aided design (CAD) for very-large-scale integrated circuits (VLSI). Coverage includes the various machine learning methods used in lithography, physical design, yield prediction, post-silicon performance analysis, reliability and failure analysis, power and thermal analysis, analog design, logic synthesis, verification, and neuromorphic design. Provides up-to-date information on machine learning in VLSI CAD for device modeling, layout verifications, yield prediction, post-silicon validation, and reliability; Discusses the use of machine learning techniques in the context of analog and digital synthesis; Demonstrates how to formulate VLSI CAD objectives as machine learning problems and provides a comprehensive treatment of their efficient solutions; Discusses the tradeoff between the cost of collecting data and prediction accuracy and provides a methodology for using prior data to reduce cost of data collection in the design, testing and validation of both analog and digital VLSI designs. From the Foreword As the semiconductor industry embraces the rising swell of cognitive systems and edge intelligence, this book could serve as a harbinger and example of the osmosis that will exist between our cognitive structures and methods, on the one hand, and the hardware architectures and technologies that will support them, on the other....As we transition from the computing era to the cognitive one, it behooves us to remember the success story of VLSI CAD and to earnestly seek the help of the invisible hand so that our future cognitive systems are used to design more powerful cognitive systems. This book is very much aligned with this on-going transition from computing to cognition, and it is with deep pleasure that I recommend it to all those who are actively engaged in this exciting transformation. Dr. Ruchir Puri, IBM Fellow, IBM Watson CTO & Chief Architect, IBM T. J. Watson Research Center

Algorithmic and Register-Transfer Level Synthesis: The System Architect’s Workbench

Download Algorithmic and Register-Transfer Level Synthesis: The System Architect’s Workbench PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315190
Total Pages : 313 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Algorithmic and Register-Transfer Level Synthesis: The System Architect’s Workbench by : Donald E. Thomas

Download or read book Algorithmic and Register-Transfer Level Synthesis: The System Architect’s Workbench written by Donald E. Thomas and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 313 pages. Available in PDF, EPUB and Kindle. Book excerpt: Recently there has been increased interest in the development of computer-aided design programs to support the system level designer of integrated circuits more actively. Such design tools hold the promise of raising the level of abstraction at which an integrated circuit is designed, thus releasing the current designers from many of the details of logic and circuit level design. The promise further suggests that a whole new group of designers in neighboring engineering and science disciplines, with far less understanding of integrated circuit design, will also be able to increase their productivity and the functionality of the systems they design. This promise has been made repeatedly as each new higher level of computer-aided design tool is introduced and has repeatedly fallen short of fulfillment. This book presents the results of research aimed at introducing yet higher levels of design tools that will inch the integrated circuit design community closer to the fulfillment of that promise. 1. 1. SYNTHESIS OF INTEGRATED CmCUITS In the integrated circuit (Ie) design process, a behavior that meets certain specifications is conceived for a system, the behavior is used to produce a design in terms of a set of structural logic elements, and these logic elements are mapped onto physical units. The design process is impacted by a set of constraints as well as technological information (i. e. the logic elements and physical units used for the design).

Logic Minimization Algorithms for VLSI Synthesis

Download Logic Minimization Algorithms for VLSI Synthesis PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461328217
Total Pages : 204 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Logic Minimization Algorithms for VLSI Synthesis by : Robert K. Brayton

Download or read book Logic Minimization Algorithms for VLSI Synthesis written by Robert K. Brayton and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 204 pages. Available in PDF, EPUB and Kindle. Book excerpt: The roots of the project which culminates with the writing of this book can be traced to the work on logic synthesis started in 1979 at the IBM Watson Research Center and at University of California, Berkeley. During the preliminary phases of these projects, the impor tance of logic minimization for the synthesis of area and performance effective circuits clearly emerged. In 1980, Richard Newton stirred our interest by pointing out new heuristic algorithms for two-level logic minimization and the potential for improving upon existing approaches. In the summer of 1981, the authors organized and participated in a seminar on logic manipulation at IBM Research. One of the goals of the seminar was to study the literature on logic minimization and to look at heuristic algorithms from a fundamental and comparative point of view. The fruits of this investigation were surprisingly abundant: it was apparent from an initial implementation of recursive logic minimiza tion (ESPRESSO-I) that, if we merged our new results into a two-level minimization program, an important step forward in automatic logic synthesis could result. ESPRESSO-II was born and an APL implemen tation was created in the summer of 1982. The results of preliminary tests on a fairly large set of industrial examples were good enough to justify the publication of our algorithms. It is hoped that the strength and speed of our minimizer warrant its Italian name, which denotes both express delivery and a specially-brewed black coffee.

Handbook of Algorithms for Physical Design Automation

Download Handbook of Algorithms for Physical Design Automation PDF Online Free

Author :
Publisher : CRC Press
ISBN 13 : 0849372429
Total Pages : 1044 pages
Book Rating : 4.8/5 (493 download)

DOWNLOAD NOW!


Book Synopsis Handbook of Algorithms for Physical Design Automation by : Charles J. Alpert

Download or read book Handbook of Algorithms for Physical Design Automation written by Charles J. Alpert and published by CRC Press. This book was released on 2008-11-12 with total page 1044 pages. Available in PDF, EPUB and Kindle. Book excerpt: The physical design flow of any project depends upon the size of the design, the technology, the number of designers, the clock frequency, and the time to do the design. As technology advances and design-styles change, physical design flows are constantly reinvented as traditional phases are removed and new ones are added to accommodate changes in technology. Handbook of Algorithms for Physical Design Automation provides a detailed overview of VLSI physical design automation, emphasizing state-of-the-art techniques, trends and improvements that have emerged during the previous decade. After a brief introduction to the modern physical design problem, basic algorithmic techniques, and partitioning, the book discusses significant advances in floorplanning representations and describes recent formulations of the floorplanning problem. The text also addresses issues of placement, net layout and optimization, routing multiple signal nets, manufacturability, physical synthesis, special nets, and designing for specialized technologies. It includes a personal perspective from Ralph Otten as he looks back on the major technical milestones in the history of physical design automation. Although several books on this topic are currently available, most are either too broad or out of date. Alternatively, proceedings and journal articles are valuable resources for researchers in this area, but the material is widely dispersed in the literature. This handbook pulls together a broad variety of perspectives on the most challenging problems in the field, and focuses on emerging problems and research results.

The Annealing Algorithm

Download The Annealing Algorithm PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461316278
Total Pages : 205 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis The Annealing Algorithm by : R.H.J.M. Otten

Download or read book The Annealing Algorithm written by R.H.J.M. Otten and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 205 pages. Available in PDF, EPUB and Kindle. Book excerpt: The goal of the research out of which this monograph grew, was to make annealing as much as possible a general purpose optimization routine. At first glance this may seem a straight-forward task, for the formulation of its concept suggests applicability to any combinatorial optimization problem. All that is needed to run annealing on such a problem is a unique representation for each configuration, a procedure for measuring its quality, and a neighbor relation. Much more is needed however for obtaining acceptable results consistently in a reasonably short time. It is even doubtful whether the problem can be formulated such that annealing becomes an adequate approach for all instances of an optimization problem. Questions such as what is the best formulation for a given instance, and how should the process be controlled, have to be answered. Although much progress has been made in the years after the introduction of the concept into the field of combinatorial optimization in 1981, some important questions still do not have a definitive answer. In this book the reader will find the foundations of annealing in a self-contained and consistent presentation. Although the physical analogue from which the con cept emanated is mentioned in the first chapter, all theory is developed within the framework of markov chains. To achieve a high degree of instance independence adaptive strategies are introduced.

Algorithmic Aspects of VLSI Layout

Download Algorithmic Aspects of VLSI Layout PDF Online Free

Author :
Publisher : World Scientific
ISBN 13 : 981021488X
Total Pages : 411 pages
Book Rating : 4.8/5 (12 download)

DOWNLOAD NOW!


Book Synopsis Algorithmic Aspects of VLSI Layout by : Majid Sarrafzadeh

Download or read book Algorithmic Aspects of VLSI Layout written by Majid Sarrafzadeh and published by World Scientific. This book was released on 1993 with total page 411 pages. Available in PDF, EPUB and Kindle. Book excerpt: In the past two decades, research in VLSI physical design has been directed toward automation of layout process. Since the cost of fabricating a circuit is a fast growing function of the circuit area, circuit layout techniques are developed with an aim to produce layouts with small areas. Other criteria of optimality such as delay and via minimization need to be taken into consideration. This book includes 14 articles that deal with various stages of the VLSI layout problem. It covers topics including partitioning, floorplanning, placement, global routing, detailed routing and layout verification. Some of the chapters are review articles, giving the state-of-the-art of the problems related to timing driven placement, global and detailed routing, and circuit partitioning. The rest of the book contains research articles, giving recent findings of new approaches to the above-mentioned problems. They are all written by leading experts in the field. This book will serve as good references for both researchers and professionals who work in this field.

Introduction to Analog VLSI Design Automation

Download Introduction to Analog VLSI Design Automation PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315352
Total Pages : 191 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Introduction to Analog VLSI Design Automation by : Mohammed Ismail

Download or read book Introduction to Analog VLSI Design Automation written by Mohammed Ismail and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 191 pages. Available in PDF, EPUB and Kindle. Book excerpt: Very large scale integration (VLSI) technologies are now maturing with a current emphasis toward submicron structures and sophisticated applications combining digital as well as analog circuits on a single chip. Abundant examples are found on today's advanced systems for telecom munications, robotics, automotive electronics, image processing, intelli gent sensors, etc .. Exciting new applications are being unveiled in the field of neural computing where the massive use of analog/digital VLSI technologies will have a significant impact. To match such a fast technological trend towards single chip ana logi digital VLSI systems, researchers worldwide have long realized the vital need of producing advanced computer aided tools for designing both digital and analog circuits and systems for silicon integration. Ar chitecture and circuit compilation, device sizing and the layout genera tion are but a few familiar tasks on the world of digital integrated circuit design which can be efficiently accomplished by matured computer aided tools. In contrast, the art of tools for designing and producing analog or even analogi digital integrated circuits is quite primitive and still lack ing the industrial penetration and acceptance already achieved by digital counterparts. In fact, analog design is commonly perceived to be one of the most knowledge-intensive design tasks and analog circuits are still designed, largely by hand, by expert intimately familiar with nuances of the target application and integrated circuit fabrication process. The techniques needed to build good analog circuits seem to exist solely as expertise invested in individual designers.

VLSI Design for Manufacturing: Yield Enhancement

Download VLSI Design for Manufacturing: Yield Enhancement PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315212
Total Pages : 299 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis VLSI Design for Manufacturing: Yield Enhancement by : Stephen W. Director

Download or read book VLSI Design for Manufacturing: Yield Enhancement written by Stephen W. Director and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 299 pages. Available in PDF, EPUB and Kindle. Book excerpt: One of the keys to success in the IC industry is getting a new product to market in a timely fashion and being able to produce that product with sufficient yield to be profitable. There are two ways to increase yield: by improving the control of the manufacturing process and by designing the process and the circuits in such a way as to minimize the effect of the inherent variations of the process on performance. The latter is typically referred to as "design for manufacture" or "statistical design". As device sizes continue to shrink, the effects of the inherent fluctuations in the IC fabrication process will have an even more obvious effect on circuit performance. And design for manufacture will increase in importance. We have been working in the area of statistically based computer aided design for more than 13 years. During the last decade we have been working with each other, and individually with our students, to develop methods and CAD tools that can be used to improve yield during the design and manufacturing phases of IC realization. This effort has resulted in a large number of publications that have appeared in a variety of journals and conference proceedings. Thus our motivation in writing this book is to put, in one place, a description of our approach to IC yield enhancement. While the work that is contained in this book has appeared in the open literature, we have attempted to use a consistent notation throughout this book.

Steady-State Methods for Simulating Analog and Microwave Circuits

Download Steady-State Methods for Simulating Analog and Microwave Circuits PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1475720815
Total Pages : 259 pages
Book Rating : 4.4/5 (757 download)

DOWNLOAD NOW!


Book Synopsis Steady-State Methods for Simulating Analog and Microwave Circuits by : Kenneth S. Kundert

Download or read book Steady-State Methods for Simulating Analog and Microwave Circuits written by Kenneth S. Kundert and published by Springer Science & Business Media. This book was released on 2013-03-09 with total page 259 pages. Available in PDF, EPUB and Kindle. Book excerpt: The motivation for starting the work described in this book was the interest that Hewlett-Packard's microwave circuit designers had in simulation techniques that could tackle the problem of finding steady state solutions for nonlinear circuits, particularly circuits containing distributed elements such as transmission lines. Examining the problem of computing steady-state solutions in this context has led to a collection of novel numerical algorithms which we have gathered, along with some background material, into this book. Although we wished to appeal to as broad an audience as possible, to treat the subject in depth required maintaining a narrow focus. Our compromise was to assume that the reader is familiar with basic numerical methods, such as might be found in [dahlquist74] or [vlach83], but not assume any specialized knowledge of methods for steady-state problems. Although we focus on algorithms for computing steady-state solutions of analog and microwave circuits, the methods herein are general in nature and may find use in other disciplines. A number of new algorithms are presented, the contributions primarily centering around new approaches to harmonic balance and mixed frequency-time methods. These methods are described, along with appropriate background material, in what we hope is a reasonably satisfying blend of theory, practice, and results. The theory is given so that the algorithms can be fully understood and their correctness established.

Testing and Reliable Design of CMOS Circuits

Download Testing and Reliable Design of CMOS Circuits PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315255
Total Pages : 239 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Testing and Reliable Design of CMOS Circuits by : Niraj K. Jha

Download or read book Testing and Reliable Design of CMOS Circuits written by Niraj K. Jha and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 239 pages. Available in PDF, EPUB and Kindle. Book excerpt: In the last few years CMOS technology has become increas ingly dominant for realizing Very Large Scale Integrated (VLSI) circuits. The popularity of this technology is due to its high den sity and low power requirement. The ability to realize very com plex circuits on a single chip has brought about a revolution in the world of electronics and computers. However, the rapid advance ments in this area pose many new problems in the area of testing. Testing has become a very time-consuming process. In order to ease the burden of testing, many schemes for designing the circuit for improved testability have been presented. These design for testability techniques have begun to catch the attention of chip manufacturers. The trend is towards placing increased emphasis on these techniques. Another byproduct of the increase in the complexity of chips is their higher susceptibility to faults. In order to take care of this problem, we need to build fault-tolerant systems. The area of fault-tolerant computing has steadily gained in importance. Today many universities offer courses in the areas of digital system testing and fault-tolerant computing. Due to the impor tance of CMOS technology, a significant portion of these courses may be devoted to CMOS testing. This book has been written as a reference text for such courses offered at the senior or graduate level. Familiarity with logic design and switching theory is assumed. The book should also prove to be useful to professionals working in the semiconductor industry.

BiCMOS Technology and Applications

Download BiCMOS Technology and Applications PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1475720297
Total Pages : 345 pages
Book Rating : 4.4/5 (757 download)

DOWNLOAD NOW!


Book Synopsis BiCMOS Technology and Applications by : Antonio R. Alvarez

Download or read book BiCMOS Technology and Applications written by Antonio R. Alvarez and published by Springer Science & Business Media. This book was released on 2013-03-09 with total page 345 pages. Available in PDF, EPUB and Kindle. Book excerpt: The topic of bipolar compatible CMOS (BiCMOS) is a fascinating one and of ever-growing practical importance. The "technology pendulum" has swung from the two extremes of preeminence of bipolar in the 1950s and 60s to the apparent endless horizons for VLSI NMOS technology during the 1970s and 80s. Yet starting in the 1980s severallimits were clouding the horizon for pure NMOS technology. CMOS reemerged as a· viable high density, high performance technology. Similarly by the mid 1980s scaled bipolar devices had not only demonstrated new high speed records, but early versions of mixed bipolar/CMOS technology were being produced. Hence the paradigm of either high density . Q[ high speed was metamorphasizing into an opportunity for both speed and density via a BiCMOS approach. Now as we approach the 1990s there have been a number of practical demonstrations of BiCMOS both for memory and logic applications and I expect the trend to escalate over the next decade. This book makes a timely contribution to the field of BiCMOS technology and circuit development. The evolution is now indeed rapid so that it is difficult to make such a book exhaustive of current developments. Probably equally difficult is the fact that the new technology opens a range of novel circuit opportunities that are as yet only formative in their development. Given these obstacles it is a herculean task to try to assemble a book on BiCMOS.

Principles of VLSI System Planning

Download Principles of VLSI System Planning PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461306930
Total Pages : 212 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Principles of VLSI System Planning by : Allen M. Dewey

Download or read book Principles of VLSI System Planning written by Allen M. Dewey and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 212 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book describes a new type of computer aided VLSI design tool, called a VLSI System Planning, that is meant to aid designers dur ing the early, or conceptual, state of design. During this stage of design, the objective is to define a general design plan, or approach, that is likely to result in an efficient implementation satisfying the initial specifications, or to determine that the initial specifications are not realizable. A design plan is a collection of high level design decisions. As an example, the conceptual design of digital filters involves choosing the type of algorithm to implement (e. g. , finite impulse response or infinite impulse response), the type of polyno mial approximation (e. g. , Equiripple or Chebyshev), the fabrication technology (e. g. , CMOS or BiCMOS), and so on. Once a particu lar design plan is chosen, the detailed design phase can begin. It is during this phase that various synthesis, simulation, layout, and test activities occur to refine the conceptual design, gradually filling more detail until the design is finally realized. The principal advantage of VLSI System Planning is that the increasingly expensive resources of the detailed design process are more efficiently managed. Costly redesigns are minimized because the detailed design process is guided by a more credible, consistent, and correct design plan.

Design Automation for Timing-Driven Layout Synthesis

Download Design Automation for Timing-Driven Layout Synthesis PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461531780
Total Pages : 285 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Design Automation for Timing-Driven Layout Synthesis by : S. Sapatnekar

Download or read book Design Automation for Timing-Driven Layout Synthesis written by S. Sapatnekar and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 285 pages. Available in PDF, EPUB and Kindle. Book excerpt: Moore's law [Noy77], which predicted that the number of devices in tegrated on a chip would be doubled every two years, was accurate for a number of years. Only recently has the level of integration be gun to slow down somewhat due to the physical limits of integration technology. Advances in silicon technology have allowed Ie design ers to integrate more than a few million transistors on a chip; even a whole system of moderate complexity can now be implemented on a single chip. To keep pace with the increasing complexity in very large scale integrated (VLSI) circuits, the productivity of chip designers would have to increase at the same rate as the level of integration. Without such an increase in productivity, the design of complex systems might not be achievable within a reasonable time-frame. The rapidly increasing complexity of VLSI circuits has made de- 1 2 INTRODUCTION sign automation an absolute necessity, since the required increase in productivity can only be accomplished with the use of sophisticated design tools. Such tools also enable designers to perform trade-off analyses of different logic implementations and to make well-informed design decisions.

Hierarchical Modeling for VLSI Circuit Testing

Download Hierarchical Modeling for VLSI Circuit Testing PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315271
Total Pages : 168 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Hierarchical Modeling for VLSI Circuit Testing by : Debashis Bhattacharya

Download or read book Hierarchical Modeling for VLSI Circuit Testing written by Debashis Bhattacharya and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 168 pages. Available in PDF, EPUB and Kindle. Book excerpt: Test generation is one of the most difficult tasks facing the designer of complex VLSI-based digital systems. Much of this difficulty is attributable to the almost universal use in testing of low, gate-level circuit and fault models that predate integrated circuit technology. It is long been recognized that the testing prob lem can be alleviated by the use of higher-level methods in which multigate modules or cells are the primitive components in test generation; however, the development of such methods has proceeded very slowly. To be acceptable, high-level approaches should be applicable to most types of digital circuits, and should provide fault coverage comparable to that of traditional, low-level methods. The fault coverage problem has, perhaps, been the most intractable, due to continued reliance in the testing industry on the single stuck-line (SSL) fault model, which is tightly bound to the gate level of abstraction. This monograph presents a novel approach to solving the foregoing problem. It is based on the systematic use of multibit vectors rather than single bits to represent logic signals, including fault signals. A circuit is viewed as a collection of high-level components such as adders, multiplexers, and registers, interconnected by n-bit buses. To match this high-level circuit model, we introduce a high-level bus fault that, in effect, replaces a large number of SSL faults and allows them to be tested in parallel. However, by reducing the bus size from n to one, we can obtain the traditional gate-level circuit and models.

Automatic Programming Applied to VLSI CAD Software: A Case Study

Download Automatic Programming Applied to VLSI CAD Software: A Case Study PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315514
Total Pages : 237 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Automatic Programming Applied to VLSI CAD Software: A Case Study by : Dorothy E. Setliff

Download or read book Automatic Programming Applied to VLSI CAD Software: A Case Study written by Dorothy E. Setliff and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 237 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book, and the research it describes, resulted from a simple observation we made sometime in 1986. Put simply, we noticed that many VLSI design tools looked "alike". That is, at least at the overall software architecture level, the algorithms and data structures required to solve problem X looked much like those required to solve problem X'. Unfortunately, this resemblance is often of little help in actually writing the software for problem X' given the software for problem X. In the VLSI CAD world, technology changes rapidly enough that design software must continually strive to keep up. And of course, VLSI design software, and engineering design software in general, is often exquisitely sensitive to some aspects of the domain (technology) in which it operates. Modest changes in functionality have an unfortunate tendency to require substantial (and time-consuming) internal software modifications. Now, observing that large engineering software systems are technology dependent is not particularly clever. However, we believe that our approach to xiv Preface dealing with this problem took an interesting new direction. We chose to investigate the extent to which automatic programming ideas cold be used to synthesize such software systems from high-level specifications. This book is one of the results of that effort.