Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide

Download Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (12 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide by : Yuanxia Zheng

Download or read book Atomic Layer Deposition of High-k Dielectrics on Germanium and Transition Metal Dichalcogenide written by Yuanxia Zheng and published by . This book was released on 2017 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Two topics related to atomic layer deposition (ALD) have been studied in this thesis. One is the challenging task of integrating high-k dielectric on germanium (Ge) surface. The other is utilizing an ALD approach to synthesize transition metal dichalcogenide (TMD) of 1T-TaS2.The surface preparation primarily using in-situ H2 plasma to obtain pristine Ge surfaces has been investigated. The reaction mechanism and the resultant material properties have been examined carefully using in-situ and ex-situ metrologies. An optimized process has been proposed and resulted in an oxygen-free and atomically flat Ge surface. The nucleation behavior of Al2O3 ALD was investigated on Ge surfaces with two different chemicals states, hydrogenated and oxidized. The growth mode and the resultant dielectric/Ge interface properties have been clarified using in-situ and ex-situ metrologies. By comparing the experimental results with an atomic scale simulations (from collaborators), the reaction mechanism as well as the thermodynamic properties have been identified. A trilayer dielectric gate stack of HfO2/Al2O3/GeOx has been used to electrically test the aforementioned mechanisms of dielectric ALD on Ge. The optimum process has yielded a highly scaled Ge MOSCap device with superior interface qualities. 1T-TaS2 thin films has been synthesized using TaCl5 and H2S as the precursors in a home-made ALD system. A strong temperature dependence has been identified. A use of ultrathin Ta2O5 seed layer has been found beneficial to facilitate the nucleation of 1T-TaS2. ALD growth at a high temperature of 480 C has yielded the optimum results. Ferroelectric HfO2 has also been synthesized as the gate insulator for the future transistor fabrication using 1T-TaS2 as the channel material. A process flow for Al-doped HfO2 primarily using ALD approach in conjunction with magnetron sputtering has been developed. The electrical properties for various doping levels have evaluated using electrical polarization measurements.

Atomic Layer Deposition of High- K Gate Dielectrics on Germanium Used for Next Generation Metal-Oxide-Semiconductor Application

Download Atomic Layer Deposition of High- K Gate Dielectrics on Germanium Used for Next Generation Metal-Oxide-Semiconductor Application PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (864 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of High- K Gate Dielectrics on Germanium Used for Next Generation Metal-Oxide-Semiconductor Application by : 林民和

Download or read book Atomic Layer Deposition of High- K Gate Dielectrics on Germanium Used for Next Generation Metal-Oxide-Semiconductor Application written by 林民和 and published by . This book was released on 2013 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt:

Atomic-layer-deposited High-k Gate Oxides on Germanium

Download Atomic-layer-deposited High-k Gate Oxides on Germanium PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (665 download)

DOWNLOAD NOW!


Book Synopsis Atomic-layer-deposited High-k Gate Oxides on Germanium by : Shankar Swaminathan

Download or read book Atomic-layer-deposited High-k Gate Oxides on Germanium written by Shankar Swaminathan and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Germanium (Ge) has emerged as a promising candidate for surface channels in highly-scaled field-effect-transistors (FETs), as performance and reliability issues are likely to limit the use of conventional Si-based complementary-metal-oxide-semiconductor (CMOS) transistors beyond the 15nm technology node. Lack of a high quality and stable thermal oxide of germanium has prompted interest in the use of high-k (high dielectric-constant) gate dielectrics on Ge channels. An interface passivation layer (IPL) between the high-k film and the Ge substrate appears to be necessary to avoid large defect densities characteristic of atomically-abrupt high-k (ZrO2 or HfO2)/Ge interfaces. Atomic layer deposition (ALD) is a useful high-k metal oxide film growth technique due to the precise nature of thickness control and uniformity of thickness for ultra-thin films. The use of ALD to synthesize deposited IPLs interposed between the Ge channel and an overlying high-k layer has not been studied extensively. For this research, a laboratory-scale ALD reactor was designed and built for Al2O3 and TiO2 chemistries with liquid metal organic precursors and H2O as oxidant. A novel in situ x-ray photoelectron spectroscopy (XPS) setup that uses a differentially pumped electrons lens and analyzer was incorporated successfully into the ALD growth chamber, enabling the real-time monitoring of chemical states in the ALD ambient. This system demonstrated collection of in situ spectra within 10's of seconds of an ALD precursor pulse, without moving the substrate or changing its temperature. Pre-ALD Ge surface functionalization by in situ oxidant dosing ("pre-pulsing") in the growth chamber was studied and optimized to synthesize a high-quality ALD-Al2O3/Ge interface, with a midgap density of interface states (Dit) ~ 2x1011 cm-2 eV-1. In situ XPS studies revealed the influence of hydroxyl ( -OH) termination of the Ge surface in passivating dangling bonds that lead to fast trapping. The evolution of Ge-O bonding states during pre-pulsing was correlated with the observed improvements in hysteresis, frequency dispersion of the gate capacitance, and the response of fast (band-edge) and slow (midgap) interface states. The effects of scaling the physical thickness of the ALD-Al2O3 down to the sub-nanometer regime on key electrical parameters such as Dit, capacitance density, leakage current density and fixed charge were studied. The ultra-thin ALD-Al2O3/Ge interface, unlike in Si, was observed to resist sub-cutaneous oxidation, evidencing the capacitance scaling potential of these IPLs. Photoemission studies done using synchrotron radiation suggested a possible mechanism for FGA-induced passivation of interface states and revealed excellent valence and conduction band offsets of ALD-Al2O3 to Ge (> 2.5eV). Thus, unlike oxide or oxynitride passivation, ALD-Al2O3 IPLs promise an effective leakage barrier to hole and electron injection in addition to providing low Dit. Aggressive gate capacitance scaling requirements for future CMOS technology necessitates the use of the so-called "higher-k" dielectrics such as TiO2 (k> 25) in the gate stack. However, the conduction band offset of the TiO2/Ge interface is very low (~ 0.2eV), resulting in unacceptably high gate leakage. To this end, successful integration of ultrathin (~ 1 nm), interface-engineered ALD-Al2O3 IPLs in ALD-TiO2 gate dielectric stacks on Ge was demonstrated through detailed physical and electrical characterization studies. These IPLs, owing to their large bandgap (~ 6.6eV), were observed to dramatically reduce the gate leakage at the TiO2/Ge interface by 6 orders of magnitude at the flatband voltage. The Platinum-gated bilayer devices exhibited excellent C-V characteristics down to a CET of 1.2nm and exhibited a minimum Dit ~ 3x1011 cm-2 eV-1 near midgap after FGA. Taking into account a typical 0.4nm contribution due to the quantum capacitance of the Ge substrate, these devices are well-suited to achieve the sub-nanometer scaling benchmarks for the 22nm node and beyond. Extensive temperature- and frequency-dependent defect characterization of the bilayer devices evidenced an unpinned oxide/semiconductor interface and showed that thermally-activated electron transport into shallow defect states in the TiO2 (~0.25eV below the CB edge) near the TiO2/Al2O3 interface resulted in a temperature-dependent dispersion of the accumulation capacitance density.

Physics and Technology of High-k Gate Dielectrics 5

Download Physics and Technology of High-k Gate Dielectrics 5 PDF Online Free

Author :
Publisher : The Electrochemical Society
ISBN 13 : 1566775701
Total Pages : 676 pages
Book Rating : 4.5/5 (667 download)

DOWNLOAD NOW!


Book Synopsis Physics and Technology of High-k Gate Dielectrics 5 by : Samares Kar

Download or read book Physics and Technology of High-k Gate Dielectrics 5 written by Samares Kar and published by The Electrochemical Society. This book was released on 2007 with total page 676 pages. Available in PDF, EPUB and Kindle. Book excerpt: This issue covers in detail all aspects of the physics and the technology of high dielectric constant gate stacks, including high mobility substrates, high dielectric constant materials, processing, metals for gate electrodes, interfaces, physical, chemical, and electrical characterization, gate stack reliability, and DRAM and non-volatile memories.

Defects in HIgh-k Gate Dielectric Stacks

Download Defects in HIgh-k Gate Dielectric Stacks PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 9781402043666
Total Pages : 508 pages
Book Rating : 4.0/5 (436 download)

DOWNLOAD NOW!


Book Synopsis Defects in HIgh-k Gate Dielectric Stacks by : Evgeni Gusev

Download or read book Defects in HIgh-k Gate Dielectric Stacks written by Evgeni Gusev and published by Springer Science & Business Media. This book was released on 2006-01-27 with total page 508 pages. Available in PDF, EPUB and Kindle. Book excerpt: The main goal of this book is to review at the nano and atomic scale the very complex scientific issues that pertain to the use of advanced high dielectric constant (high-k) materials in next generation semiconductor devices. One of the key obstacles to integrate this novel class of materials into Si nano-technology are the electronic defects in high-k dielectrics. It has been established that defects do exist in high-k dielectrics and they play an important role in device operation. The unique feature of this book is a special focus on the important issue of defects. The subject is covered from various angles, including silicon technology, processing aspects, materials properties, electrical defects, microstructural studies, and theory. The authors who have contributed to the book represents a diverse group of leading scientists from academic, industrial and governmental labs worldwide who bring a broad array of backgrounds (basic and applied physics, chemistry, electrical engineering, surface science, and materials science). The contributions to this book are accessible to both expert scientists and engineers who need to keep up with leading edge research, and newcomers to the field who wish to learn more about the exciting basic and applied research issues relevant to next generation device technology.

Metal-gate/high-k Dielectric Stack Engineering by Atomic Layer Deposition

Download Metal-gate/high-k Dielectric Stack Engineering by Atomic Layer Deposition PDF Online Free

Author :
Publisher :
ISBN 13 : 9781109918441
Total Pages : 224 pages
Book Rating : 4.9/5 (184 download)

DOWNLOAD NOW!


Book Synopsis Metal-gate/high-k Dielectric Stack Engineering by Atomic Layer Deposition by : Raghavasimhan Sreenivasan

Download or read book Metal-gate/high-k Dielectric Stack Engineering by Atomic Layer Deposition written by Raghavasimhan Sreenivasan and published by . This book was released on 2007 with total page 224 pages. Available in PDF, EPUB and Kindle. Book excerpt: Tantalum nitride thin films were deposited by a remote plasma-enhanced ALD (PEALD) method using a novel metal organic precursor---isopropylimino tris(ethylmethylamino) tantalum (IPTEMT). It was identified that the as-deposited tantalum nitride film was the dielectric Ta3N5 phase. High temperature in-situ anneals performed in the TEM column crystallized the ALD tantalum nitride film at 850°C into the stoichiometric cubic TaN phase. The phase transformation from dielectric Ta3N5 to metallic TaN is achieved by out-diffusion of excess nitrogen atoms from the Ta3N5 film during the high temperature anneal. TaN was evaluated as a potential gate electrode material both on SiO2 and HfO2 gate dielectrics. The impact of high temperature anneals on the mobility of hydroxyl and oxygen impurities in the stack and its effect on the thickness of the interfacial layer was studied in detail. A novel low temperature process was identified to engineer the TaN/HfO2 gate stack using a reactive titanium metal overlayer to getter the excess nitrogen from Ta3N5.

High k Gate Dielectrics

Download High k Gate Dielectrics PDF Online Free

Author :
Publisher : CRC Press
ISBN 13 : 1420034146
Total Pages : 614 pages
Book Rating : 4.4/5 (2 download)

DOWNLOAD NOW!


Book Synopsis High k Gate Dielectrics by : Michel Houssa

Download or read book High k Gate Dielectrics written by Michel Houssa and published by CRC Press. This book was released on 2003-12-01 with total page 614 pages. Available in PDF, EPUB and Kindle. Book excerpt: The drive toward smaller and smaller electronic componentry has huge implications for the materials currently being used. As quantum mechanical effects begin to dominate, conventional materials will be unable to function at scales much smaller than those in current use. For this reason, new materials with higher electrical permittivity will be requ

Surface Modification for Area Selective Atomic Layer Deposition on Silicon and Germanium

Download Surface Modification for Area Selective Atomic Layer Deposition on Silicon and Germanium PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 484 pages
Book Rating : 4.F/5 ( download)

DOWNLOAD NOW!


Book Synopsis Surface Modification for Area Selective Atomic Layer Deposition on Silicon and Germanium by : Rong Chen

Download or read book Surface Modification for Area Selective Atomic Layer Deposition on Silicon and Germanium written by Rong Chen and published by . This book was released on 2006 with total page 484 pages. Available in PDF, EPUB and Kindle. Book excerpt:

High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates

Download High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (944 download)

DOWNLOAD NOW!


Book Synopsis High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates by : Liangliang Zhang

Download or read book High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates written by Liangliang Zhang and published by . This book was released on 2016 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: As transistors scale to their physical limits, germanium and silicon-germanium (SiGe) alloys are both promising candidate metal-oxide-semiconductor field effect transistor (MOSFET) channel materials to extend the roadmap. In this work, I used carefully-controlled atomic layer deposition (ALD) processes and a simple forming gas anneal (FGA), to form TiO2/Al2O3/Ge gate stacks with 0.65 nm EOT and low interface trap densities. For the first time, I applied bilayer gate dielectric stacks to Ge pMOSFETs with sub 1-nm EOT and a subthreshold swing (SS) as low as 71 mV/dec. For the first time, soft x-ray and hard x-ray photoelectron spectroscopy were used to rigorously study the formation of a GeO2 interface layer between an atomic layer deposited gate dielectric and a Ge(100) substrate during forming gas anneal (FGA). A new and simple method was demonstrated to selectively passivate interface traps with energies in the top half of the Ge band gap under annealing conditions that produce a GeO2 interface layer. I also describe how the sensitivity of the interface trap density in metal/Al2O3/Ge MOSCAPs is related to the nature of the H2/N2 anneal and the presence of a gate metal such as Pt that is effective in dissociating H2 to atomic hydrogen. The third part of this work focuses on SiGe substrates. Experiments show that, even though the native oxides of the SiGe channel are removed by 2% HF(aq)/ H2O cyclic cleans, a SiOx/GeOx interfacial layer is formed during Al2O3 ALD. Using Al as the gate metal instead of Pt, Al2O3/SiGe MOSCAPs show C-V curves with minimal frequency dispersion and much smaller Dit response. Experiments reveal that the Al-gate scavenges oxygen from the underlying GeOx, producting a SiOx/SiGe interface with much-reduced Dit.

Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces

Download Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 360 pages
Book Rating : 4.:/5 (964 download)

DOWNLOAD NOW!


Book Synopsis Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces by : Alex J. Henegar

Download or read book Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces written by Alex J. Henegar and published by . This book was released on 2015 with total page 360 pages. Available in PDF, EPUB and Kindle. Book excerpt: Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics.

Atomic Layer Deposition of Transition Metal Dichalcogenides and Their Applications

Download Atomic Layer Deposition of Transition Metal Dichalcogenides and Their Applications PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 0 pages
Book Rating : 4.:/5 (135 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of Transition Metal Dichalcogenides and Their Applications by : Chengxu Shen

Download or read book Atomic Layer Deposition of Transition Metal Dichalcogenides and Their Applications written by Chengxu Shen and published by . This book was released on 2022* with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Physics and Technology of High-k Gate Dielectrics II

Download Physics and Technology of High-k Gate Dielectrics II PDF Online Free

Author :
Publisher : The Electrochemical Society
ISBN 13 : 9781566774055
Total Pages : 512 pages
Book Rating : 4.7/5 (74 download)

DOWNLOAD NOW!


Book Synopsis Physics and Technology of High-k Gate Dielectrics II by : Samares Kar

Download or read book Physics and Technology of High-k Gate Dielectrics II written by Samares Kar and published by The Electrochemical Society. This book was released on 2004 with total page 512 pages. Available in PDF, EPUB and Kindle. Book excerpt: "This volume is the proceedings of The Second International Symposium on High Dielectric Constant Materials: Materials Science, Processing, Reliability, and Manufacturing Issues ... and was held during [the] 204th Meeting [of the Electrochemical Society] ..."--P. v.

Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices

Download Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (859 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices by : Jaesoo Ahn

Download or read book Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices written by Jaesoo Ahn and published by . This book was released on 2013 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: III-V compound semiconductor channels coated by high-k dielectrics are the subject of intense interest for high performance metal-oxide-semiconductor (MOS) devices beyond the 11nm technology node. In0.53Ga0.47As and atomic layer deposited (ALD) Al2O3 are among the leading candidates for high-[kappa]/III-V n-channel MOS devices because of their high electron mobility and relatively low interface defect density compared to other deposited dielectrics. However, preventing formation of native oxides and developing a stable interface with a lower density of electrically active defects have been a long-standing challenge for III-V based MOS field-effect devices. In0.53Ga0.47As(100) channel surfaces that were initially covered with a protective As2-layer are thermally decapped in situ in the high vacuum ALD reactor at the substrate temperature of 360 ~ 390°C. The end point of decapping is determined by observation of a completed chamber pressure pulse during As2 desorption and confirmed by the binding energy shift ( -0.7 eV) of As 3d peaks measured in x-ray photoelectron spectroscopy. A substantial fraction of the initially-present interface defects and border traps can be passivated by post-metallization forming gas anneal. The gate electrode deposited by thermal evaporation appears to result in a lower metal oxide/InGaAs interface defect density than does of electron beam evaporation of the same gate metals. Thermal desorption conditions for a protective As2 layer on the surface of the as-grown InGaAs channels and dosing of trimethylaluminum (TMA) prior to Al2O3 ALD are varied to alter the interface trap densities (Dit). The InGaAs(100) decapped at 460°C shows a higher density of interface defects in the InGaAs bandgap compared to the decapping at 370°C. TMA pre-dosing (large dose of TMA prior to the start of ALD-Al2O3) reduces the Dit distribution across the bandgap of InGaAs. It is suggested that TMA needs to be dosed at low temperatures (200°C or below) when the As2 cap is desorbed at 460°C, whereas temperature independence of TMA pre-dosing is observed on the InGaAs surfaces decapped at 370°C, consistent with previously reported scanning tunneling spectroscopy results. Water vapor pre-dosing in addition to the TMA pre-dosing can suppress the conduction band edge states by removing In-Ga bonds on the InGaAs (100) surface. Gate dielectric deposition and post-dielectric thermal processing during III-V MOS device fabrication can result in undesirable chemical reactions at the dielectric/channel interface. The oxidation of an In0.53Ga0.47As (100) surface through overlying ultrathin ALD-Al2O3 layers is investigated using x-ray photoelectron spectroscopy (XPS). A strong gallium oxide (Ga2O3) feature is observed in the Ga 3p core level of the InGaAs surface after the Al2O3/InGaAs is annealed at 500°C for 20 min in oxygen. The peak intensity of Ga-oxide component is reduced as the Al2O3 thickness increases from 1 nm to 2 nm and no Ga-oxide is detected in XPS when the oxide layer is 2.5 nm thick. The InGaAs surface oxidation also occurs through a 1.2 nm Al2O3 when H2O vapor is pulsed for 10 seconds at 300°C, increasing the interface defect density across the InGaAs bandgap. ALD-HfO2 deposition on ~1 nm Al2O3/InGaAs layer can produce XPS detectable signatures of InGaAs surface oxidation. TMA pre-dosing prior to ALD-HfO2 deposition is capable of suppressing InGaAs surface oxidation. The electrical properties of ALD-TiO2/Al2O3 bilayer gate oxides which simultaneously achieve high gate capacitance density and low gate leakage current density are discussed in the last part of this dissertation. The maximum accumulation capacitance of the bilayer gate stack increases by 33 % after the FGA at 400°C for 30 min, which can be attributed to the crystallization of the initially-amorphous TiO2 film. The bilayer dielectrics reduce gate leakage current density by approximately one order of magnitude at flatband compared to Al2O3 single layer of comparable capacitance equivalent thickness. The conduction band offset of TiO2 relative to InGaAs is 0.6 eV, contributing to the ability of the stacked dielectric to suppress gate leakage conduction. TMA pre-dosing or ultrathin (~5 ALD cycles) Al2O3 layer deposition prior to ALD-TiO2 and oxygen anneal at relatively low temperature can be beneficial for reduction of the gate leakage current of the TiO2 layer.

High-K Dielectric Depositions (Al2O3) Using Ozone-based ALD (Atomic Layer Deposition) for Graphene-based Devices

Download High-K Dielectric Depositions (Al2O3) Using Ozone-based ALD (Atomic Layer Deposition) for Graphene-based Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 326 pages
Book Rating : 4.:/5 (643 download)

DOWNLOAD NOW!


Book Synopsis High-K Dielectric Depositions (Al2O3) Using Ozone-based ALD (Atomic Layer Deposition) for Graphene-based Devices by : Bongki Lee

Download or read book High-K Dielectric Depositions (Al2O3) Using Ozone-based ALD (Atomic Layer Deposition) for Graphene-based Devices written by Bongki Lee and published by . This book was released on 2010 with total page 326 pages. Available in PDF, EPUB and Kindle. Book excerpt: The aim of this dissertation is to develop ALD gate dielectric process (O 3 -based ALD dielectric) for graphene-based devices, study an ALD process reaction with graphene and growth mechanism, and demonstrate the electrical performance of the graphene devices. This ALD dielectric for graphene-based devices should provide minimal chemical doping and no process-induced defects into graphene, and exhibit good dielectric properties as well as high carrier mobility in top-gated graphene devices.

Fabrication and Characterization of High-k Gate Dielectrics Using Atomic Layer Deposition for Advanced CMOS Technology

Download Fabrication and Characterization of High-k Gate Dielectrics Using Atomic Layer Deposition for Advanced CMOS Technology PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 170 pages
Book Rating : 4.:/5 (83 download)

DOWNLOAD NOW!


Book Synopsis Fabrication and Characterization of High-k Gate Dielectrics Using Atomic Layer Deposition for Advanced CMOS Technology by : 江振國

Download or read book Fabrication and Characterization of High-k Gate Dielectrics Using Atomic Layer Deposition for Advanced CMOS Technology written by 江振國 and published by . This book was released on 2012 with total page 170 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Reactions of High-k Gate Dielectrics: Studies in Hafnium, Zirconium, Yttrium, and Lanthanum-based Dielectrics and In-situ Infrared Results for Hafnium Dioxide Atomic Layer Deposition

Download Reactions of High-k Gate Dielectrics: Studies in Hafnium, Zirconium, Yttrium, and Lanthanum-based Dielectrics and In-situ Infrared Results for Hafnium Dioxide Atomic Layer Deposition PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (656 download)

DOWNLOAD NOW!


Book Synopsis Reactions of High-k Gate Dielectrics: Studies in Hafnium, Zirconium, Yttrium, and Lanthanum-based Dielectrics and In-situ Infrared Results for Hafnium Dioxide Atomic Layer Deposition by :

Download or read book Reactions of High-k Gate Dielectrics: Studies in Hafnium, Zirconium, Yttrium, and Lanthanum-based Dielectrics and In-situ Infrared Results for Hafnium Dioxide Atomic Layer Deposition written by and published by . This book was released on 2004 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: According to the International Technology Roadmap for Semiconductors (2004) integrating a high dielectric constant (high-k) material into the gate stack will be necessary within the next two years (i.e., by 2007) to maintain the rate of scaling that has come to characterize the microelectronics industry. This work presents results for Y-, Zr-, Hf-, and La-based high-k gate dielectrics prepared by ex-situ oxidation of sputtered thin metal films and for HfO2 prepared by atomic layer deposition (ALD). The kinetics of substrate consumption during formation of yttrium silicate thin films were studied. We find results consistent with high-k dielectric formation by a two-step process in which yttrium metal reacts with the silicon substrate to form a metal silicide which is then oxidized to form the yttrium silicate dielectric. In other experiments, we show flatband voltage shifts of -0.2 and 0.95V in devices containing Zr-based dielectrics formed by oxidation of 8Å of Zr metal on Si at 600 & deg;C in N2O for 15 and 300s, respectively. Silicon oxidized in the same environment does not show this shift. The fixed charge scales with EOT for these films and is consistent with charge generation due to disruption of the SiO2 network by metal ions. Zr-based dielectrics exhibit this effect more strongly than Hf-based dielectrics. We show that La-based dielectrics absorb atmospheric H2O and CO2, and that reactions between these materials and deposited silicon electrodes are accelerated when H2O or other OH species are present at the interface. We show that the electrical properties of gate stacks having Ru and RuO2 electrodes in contact with PVD Y-silicate are more stable during thermal anneal than similar gate stacks having PVD ZrO2 or CVD Al2O3 dielectrics. For this work, we configured a Fourier transform infrared spectrometer for in-situ attenuated total reflection measurements and investigated ALD deposition of HfO2. We report the direct reaction of tetrakis(diethylamino) haf.

Physics and Technology of High-k Gate Dielectrics 6

Download Physics and Technology of High-k Gate Dielectrics 6 PDF Online Free

Author :
Publisher : The Electrochemical Society
ISBN 13 : 1566776511
Total Pages : 550 pages
Book Rating : 4.5/5 (667 download)

DOWNLOAD NOW!


Book Synopsis Physics and Technology of High-k Gate Dielectrics 6 by : S. Kar

Download or read book Physics and Technology of High-k Gate Dielectrics 6 written by S. Kar and published by The Electrochemical Society. This book was released on 2008-10 with total page 550 pages. Available in PDF, EPUB and Kindle. Book excerpt: The issue covers in detail all aspects of the physics and the technology of high dielectric constant gate stacks, including high mobility substrates, novel and still higher permittivity dielectric materials, CMOS processing with high-K layers, metals for gate electrodes, interface issues, physical, chemical, and electrical characterization, gate stack reliability, and DRAM and non-volatile memories.