Atomic Layer Deposited Beryllium Oxide as a Gate Dielectric Or Interfacial Layer for Si and III-V MOS Devices

Download Atomic Layer Deposited Beryllium Oxide as a Gate Dielectric Or Interfacial Layer for Si and III-V MOS Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 226 pages
Book Rating : 4.:/5 (798 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposited Beryllium Oxide as a Gate Dielectric Or Interfacial Layer for Si and III-V MOS Devices by : Jung Hwan Yum

Download or read book Atomic Layer Deposited Beryllium Oxide as a Gate Dielectric Or Interfacial Layer for Si and III-V MOS Devices written by Jung Hwan Yum and published by . This book was released on 2012 with total page 226 pages. Available in PDF, EPUB and Kindle. Book excerpt: The continuous improvement in the semiconductor industry has been successfully achieved by the reducing dimensions of CMOS (complementary metal oxide semiconductor) technology. For the last four decades, the scaling down of physical thickness of SiO2 gate dielectrics has improved the speed of output drive current by shrinking of transistor area in front-end-process of integrated circuits. A higher number of transistors on chip resulting in faster speed and lower cost can be allowable by the scaling down and these fruitful achievements have been mainly made by the thinning thickness of one key component - Gate Dielectric - at Si based MOSFET (metal-oxide-semiconductor field effect transistor) devices. So far, SiO2 (silicon dioxide) gate dielectric having the excellent material and electrical properties such as good interface (i.e., Dit ~ 2x1010 eV−1cm−2), low gate leakage current, higher dielectric breakdown immunity (≥10MV/cm) and excellent thermal stability at typical Si processing temperature has been popularly used as the leading gate oxide material. The next generation Si based MOSFETs will require more aggressive gate oxide scaling to meet the required specifications. Since high-k dielectrics provide the same capacitance with a thicker film, the leakage current reduction, therefore, less the standby power consumption is one of the huge advantages. Also, it is easier to fabricate during the process because the control of film thickness is still not in the critical range compared to the same leakage current characteristic of SiO2 film. HfO2 based gate dielectric is considered as the most promising candidate among materials being studied since it shows good characteristics with conventional Si technology and good device performance has been reported. However, it has still many problems like insufficient thermals stability on silicon such as low crystallization temperature, low k interfacial regrowth, charge trapping and so on. The integration of hafnium based high-k dielectric into CMOS technology is also limited by major issues such as degraded channel mobility and charge trapping. One approach to overcome these obstacles is using alternative substrate materials such as SiGe, GaAs, InGaAs, and InP to improve channel mobility. High electron mobility in the III-V materials has attracted significant attention for a possible application as a channel material in metal/oxide/semiconductor (MOS) transistors. One of the main challenges is that III-V MOSFETs generally lack thermodynamically stable insulators of high electrical quality, which would passivate the interface states at the dielectric/substrate interface and unpin the Fermi level. To address this issue, various dielectric, such as Si/SiO2, Ge, SiGe, SiN and Al2O3, were considered as an interface passivation layer (IPL). Atomic Layer Deposited (ALD) Al2O3 has demonstrated superior IPL characteristics compared to the other candidates due to its high dielectric constant and interface quality. However, defect density in Al2O3 is still too high even as several cleaning methods such as NH4OH, (NH4)2S and F treatment have been developed, which limits the performance of III-V MOSFETs. In the first part of this study, theoretical approaches to understand the motivation and requirements as an high-k gate dielectric or interfacial layer, and properties of ALD beryllium oxide (BeO) for Si and III-V MOS devices have been investigated. The second part of this study focuses on the precursor synthesis and fundamental material characterization of ALD BeO thin film using physical, optical and electrical analysis. Film properties such as self-cleaning reaction and oxygen diffusion barrier will be presented. At the third part, depletion mode transistor and self-aligned MOSFETs using ALD BeO on Si and InP high mobility substrates have been investigated. And as for the final part of this study, the density functional theory of Be(CH3)2 precursor, electromagnetics, and thermodynamics were investigated to understand the reaction mechanism and self-cleaning reaction, and to evaluate the gate dielectrics such as Al2O3, BeO, SiO2, and HfO2.

Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices

Download Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (859 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices by : Jaesoo Ahn

Download or read book Atomic Layer Deposited High-k Gate Dielectrics for III-V Based Metal-oxide-semiconductor Field Effect Devices written by Jaesoo Ahn and published by . This book was released on 2013 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: III-V compound semiconductor channels coated by high-k dielectrics are the subject of intense interest for high performance metal-oxide-semiconductor (MOS) devices beyond the 11nm technology node. In0.53Ga0.47As and atomic layer deposited (ALD) Al2O3 are among the leading candidates for high-[kappa]/III-V n-channel MOS devices because of their high electron mobility and relatively low interface defect density compared to other deposited dielectrics. However, preventing formation of native oxides and developing a stable interface with a lower density of electrically active defects have been a long-standing challenge for III-V based MOS field-effect devices. In0.53Ga0.47As(100) channel surfaces that were initially covered with a protective As2-layer are thermally decapped in situ in the high vacuum ALD reactor at the substrate temperature of 360 ~ 390°C. The end point of decapping is determined by observation of a completed chamber pressure pulse during As2 desorption and confirmed by the binding energy shift ( -0.7 eV) of As 3d peaks measured in x-ray photoelectron spectroscopy. A substantial fraction of the initially-present interface defects and border traps can be passivated by post-metallization forming gas anneal. The gate electrode deposited by thermal evaporation appears to result in a lower metal oxide/InGaAs interface defect density than does of electron beam evaporation of the same gate metals. Thermal desorption conditions for a protective As2 layer on the surface of the as-grown InGaAs channels and dosing of trimethylaluminum (TMA) prior to Al2O3 ALD are varied to alter the interface trap densities (Dit). The InGaAs(100) decapped at 460°C shows a higher density of interface defects in the InGaAs bandgap compared to the decapping at 370°C. TMA pre-dosing (large dose of TMA prior to the start of ALD-Al2O3) reduces the Dit distribution across the bandgap of InGaAs. It is suggested that TMA needs to be dosed at low temperatures (200°C or below) when the As2 cap is desorbed at 460°C, whereas temperature independence of TMA pre-dosing is observed on the InGaAs surfaces decapped at 370°C, consistent with previously reported scanning tunneling spectroscopy results. Water vapor pre-dosing in addition to the TMA pre-dosing can suppress the conduction band edge states by removing In-Ga bonds on the InGaAs (100) surface. Gate dielectric deposition and post-dielectric thermal processing during III-V MOS device fabrication can result in undesirable chemical reactions at the dielectric/channel interface. The oxidation of an In0.53Ga0.47As (100) surface through overlying ultrathin ALD-Al2O3 layers is investigated using x-ray photoelectron spectroscopy (XPS). A strong gallium oxide (Ga2O3) feature is observed in the Ga 3p core level of the InGaAs surface after the Al2O3/InGaAs is annealed at 500°C for 20 min in oxygen. The peak intensity of Ga-oxide component is reduced as the Al2O3 thickness increases from 1 nm to 2 nm and no Ga-oxide is detected in XPS when the oxide layer is 2.5 nm thick. The InGaAs surface oxidation also occurs through a 1.2 nm Al2O3 when H2O vapor is pulsed for 10 seconds at 300°C, increasing the interface defect density across the InGaAs bandgap. ALD-HfO2 deposition on ~1 nm Al2O3/InGaAs layer can produce XPS detectable signatures of InGaAs surface oxidation. TMA pre-dosing prior to ALD-HfO2 deposition is capable of suppressing InGaAs surface oxidation. The electrical properties of ALD-TiO2/Al2O3 bilayer gate oxides which simultaneously achieve high gate capacitance density and low gate leakage current density are discussed in the last part of this dissertation. The maximum accumulation capacitance of the bilayer gate stack increases by 33 % after the FGA at 400°C for 30 min, which can be attributed to the crystallization of the initially-amorphous TiO2 film. The bilayer dielectrics reduce gate leakage current density by approximately one order of magnitude at flatband compared to Al2O3 single layer of comparable capacitance equivalent thickness. The conduction band offset of TiO2 relative to InGaAs is 0.6 eV, contributing to the ability of the stacked dielectric to suppress gate leakage conduction. TMA pre-dosing or ultrathin (~5 ALD cycles) Al2O3 layer deposition prior to ALD-TiO2 and oxygen anneal at relatively low temperature can be beneficial for reduction of the gate leakage current of the TiO2 layer.

Atomic-layer-deposited High-k Gate Oxides on Germanium

Download Atomic-layer-deposited High-k Gate Oxides on Germanium PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (665 download)

DOWNLOAD NOW!


Book Synopsis Atomic-layer-deposited High-k Gate Oxides on Germanium by : Shankar Swaminathan

Download or read book Atomic-layer-deposited High-k Gate Oxides on Germanium written by Shankar Swaminathan and published by . This book was released on 2010 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Germanium (Ge) has emerged as a promising candidate for surface channels in highly-scaled field-effect-transistors (FETs), as performance and reliability issues are likely to limit the use of conventional Si-based complementary-metal-oxide-semiconductor (CMOS) transistors beyond the 15nm technology node. Lack of a high quality and stable thermal oxide of germanium has prompted interest in the use of high-k (high dielectric-constant) gate dielectrics on Ge channels. An interface passivation layer (IPL) between the high-k film and the Ge substrate appears to be necessary to avoid large defect densities characteristic of atomically-abrupt high-k (ZrO2 or HfO2)/Ge interfaces. Atomic layer deposition (ALD) is a useful high-k metal oxide film growth technique due to the precise nature of thickness control and uniformity of thickness for ultra-thin films. The use of ALD to synthesize deposited IPLs interposed between the Ge channel and an overlying high-k layer has not been studied extensively. For this research, a laboratory-scale ALD reactor was designed and built for Al2O3 and TiO2 chemistries with liquid metal organic precursors and H2O as oxidant. A novel in situ x-ray photoelectron spectroscopy (XPS) setup that uses a differentially pumped electrons lens and analyzer was incorporated successfully into the ALD growth chamber, enabling the real-time monitoring of chemical states in the ALD ambient. This system demonstrated collection of in situ spectra within 10's of seconds of an ALD precursor pulse, without moving the substrate or changing its temperature. Pre-ALD Ge surface functionalization by in situ oxidant dosing ("pre-pulsing") in the growth chamber was studied and optimized to synthesize a high-quality ALD-Al2O3/Ge interface, with a midgap density of interface states (Dit) ~ 2x1011 cm-2 eV-1. In situ XPS studies revealed the influence of hydroxyl ( -OH) termination of the Ge surface in passivating dangling bonds that lead to fast trapping. The evolution of Ge-O bonding states during pre-pulsing was correlated with the observed improvements in hysteresis, frequency dispersion of the gate capacitance, and the response of fast (band-edge) and slow (midgap) interface states. The effects of scaling the physical thickness of the ALD-Al2O3 down to the sub-nanometer regime on key electrical parameters such as Dit, capacitance density, leakage current density and fixed charge were studied. The ultra-thin ALD-Al2O3/Ge interface, unlike in Si, was observed to resist sub-cutaneous oxidation, evidencing the capacitance scaling potential of these IPLs. Photoemission studies done using synchrotron radiation suggested a possible mechanism for FGA-induced passivation of interface states and revealed excellent valence and conduction band offsets of ALD-Al2O3 to Ge (> 2.5eV). Thus, unlike oxide or oxynitride passivation, ALD-Al2O3 IPLs promise an effective leakage barrier to hole and electron injection in addition to providing low Dit. Aggressive gate capacitance scaling requirements for future CMOS technology necessitates the use of the so-called "higher-k" dielectrics such as TiO2 (k> 25) in the gate stack. However, the conduction band offset of the TiO2/Ge interface is very low (~ 0.2eV), resulting in unacceptably high gate leakage. To this end, successful integration of ultrathin (~ 1 nm), interface-engineered ALD-Al2O3 IPLs in ALD-TiO2 gate dielectric stacks on Ge was demonstrated through detailed physical and electrical characterization studies. These IPLs, owing to their large bandgap (~ 6.6eV), were observed to dramatically reduce the gate leakage at the TiO2/Ge interface by 6 orders of magnitude at the flatband voltage. The Platinum-gated bilayer devices exhibited excellent C-V characteristics down to a CET of 1.2nm and exhibited a minimum Dit ~ 3x1011 cm-2 eV-1 near midgap after FGA. Taking into account a typical 0.4nm contribution due to the quantum capacitance of the Ge substrate, these devices are well-suited to achieve the sub-nanometer scaling benchmarks for the 22nm node and beyond. Extensive temperature- and frequency-dependent defect characterization of the bilayer devices evidenced an unpinned oxide/semiconductor interface and showed that thermally-activated electron transport into shallow defect states in the TiO2 (~0.25eV below the CB edge) near the TiO2/Al2O3 interface resulted in a temperature-dependent dispersion of the accumulation capacitance density.

Gate Dielectrics and MOS ULSIs

Download Gate Dielectrics and MOS ULSIs PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 3642608566
Total Pages : 362 pages
Book Rating : 4.6/5 (426 download)

DOWNLOAD NOW!


Book Synopsis Gate Dielectrics and MOS ULSIs by : Takashi Hori

Download or read book Gate Dielectrics and MOS ULSIs written by Takashi Hori and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 362 pages. Available in PDF, EPUB and Kindle. Book excerpt: Gate Dielectrics and MOS ULSIs provides necessary and sufficient information for those who wish to know well and go beyond the conventional SiO2 gate dielectric. The topics particularly focus on dielectric films satisfying the superior quality needed for gate dielectrics even in large-scale integration. And since the quality requirements are rather different between device applications, they are selected in an applicatipn-oriented manner, e.g., conventional SiO2 used in CMOS logic circuits, nitrided oxides, which recently became indispensable for flash memories, and composite ONO and ferroelectric films for passive capacitors used in DRAM applications. The book also covers issues common to all gate dielectrics, such as MOSFET physics, evaluation, scaling, and device application/integration for successful development. The information is as up to date as possible, especially for nanometer-range ultrathin gate-dielectric films indispensible in submicrometer ULSIs. The text together with abundant illustrations will take even the inexperienced reader up to the present high state of the art. It is the first book presenting nitrided gate oxides in detail.

Investigation of Atomic Layer Deposited SiO2 and Al2O3 as Gate Dielectrics for GaN MOS Devices

Download Investigation of Atomic Layer Deposited SiO2 and Al2O3 as Gate Dielectrics for GaN MOS Devices PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 106 pages
Book Rating : 4.:/5 (115 download)

DOWNLOAD NOW!


Book Synopsis Investigation of Atomic Layer Deposited SiO2 and Al2O3 as Gate Dielectrics for GaN MOS Devices by : Thomas Marron

Download or read book Investigation of Atomic Layer Deposited SiO2 and Al2O3 as Gate Dielectrics for GaN MOS Devices written by Thomas Marron and published by . This book was released on 2011 with total page 106 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Fundamentals of Novel Oxide/semiconductor Interfaces

Download Fundamentals of Novel Oxide/semiconductor Interfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 432 pages
Book Rating : 4.3/5 (91 download)

DOWNLOAD NOW!


Book Synopsis Fundamentals of Novel Oxide/semiconductor Interfaces by : C. R. Abernathy

Download or read book Fundamentals of Novel Oxide/semiconductor Interfaces written by C. R. Abernathy and published by . This book was released on 2004 with total page 432 pages. Available in PDF, EPUB and Kindle. Book excerpt:

High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates

Download High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (944 download)

DOWNLOAD NOW!


Book Synopsis High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates by : Liangliang Zhang

Download or read book High-k Gate Dielectric Interfaces with Germanium and Silicon-germanium Substrates written by Liangliang Zhang and published by . This book was released on 2016 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: As transistors scale to their physical limits, germanium and silicon-germanium (SiGe) alloys are both promising candidate metal-oxide-semiconductor field effect transistor (MOSFET) channel materials to extend the roadmap. In this work, I used carefully-controlled atomic layer deposition (ALD) processes and a simple forming gas anneal (FGA), to form TiO2/Al2O3/Ge gate stacks with 0.65 nm EOT and low interface trap densities. For the first time, I applied bilayer gate dielectric stacks to Ge pMOSFETs with sub 1-nm EOT and a subthreshold swing (SS) as low as 71 mV/dec. For the first time, soft x-ray and hard x-ray photoelectron spectroscopy were used to rigorously study the formation of a GeO2 interface layer between an atomic layer deposited gate dielectric and a Ge(100) substrate during forming gas anneal (FGA). A new and simple method was demonstrated to selectively passivate interface traps with energies in the top half of the Ge band gap under annealing conditions that produce a GeO2 interface layer. I also describe how the sensitivity of the interface trap density in metal/Al2O3/Ge MOSCAPs is related to the nature of the H2/N2 anneal and the presence of a gate metal such as Pt that is effective in dissociating H2 to atomic hydrogen. The third part of this work focuses on SiGe substrates. Experiments show that, even though the native oxides of the SiGe channel are removed by 2% HF(aq)/ H2O cyclic cleans, a SiOx/GeOx interfacial layer is formed during Al2O3 ALD. Using Al as the gate metal instead of Pt, Al2O3/SiGe MOSCAPs show C-V curves with minimal frequency dispersion and much smaller Dit response. Experiments reveal that the Al-gate scavenges oxygen from the underlying GeOx, producting a SiOx/SiGe interface with much-reduced Dit.

Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces

Download Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 360 pages
Book Rating : 4.:/5 (964 download)

DOWNLOAD NOW!


Book Synopsis Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces by : Alex J. Henegar

Download or read book Surface Chemistry and Interface Evolution During the Atomic Layer Deposition of High-k Metal Oxides on Inas(100) and Gaas(100) Surfaces written by Alex J. Henegar and published by . This book was released on 2015 with total page 360 pages. Available in PDF, EPUB and Kindle. Book excerpt: Device scaling has been key for creating faster and more powerful electronic devices. Integral circuit components like the metal-oxide semiconductor field-effect transistor (MOSFET) now rely on material deposition techniques, like atomic layer deposition (ALD), that possess atomic-scale thickness precision. At the heart of the archetypal MOSFET is a SiO2/Si interface which can be formed to near perfection. However when the thickness of the SiO2 layer is shrunk down to a few nanometers several complications arise like unacceptably high leakage current and power consumption. Replacing Si with III-V semiconductors and SiO2 with high-k dielectric materials is appealing but comes with its own set of challenges. While SiO2 is practically defect-free, the native oxides of III-Vs are poor dielectrics.

Atomic Layer Deposition of Oxides for Microelectronics

Download Atomic Layer Deposition of Oxides for Microelectronics PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 226 pages
Book Rating : 4.:/5 (787 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of Oxides for Microelectronics by : Hongtao Wang

Download or read book Atomic Layer Deposition of Oxides for Microelectronics written by Hongtao Wang and published by . This book was released on 2009 with total page 226 pages. Available in PDF, EPUB and Kindle. Book excerpt: Atomic layer deposition of high-[kappa] oxides has gained interest due to the wide applications in microelectronics. For gate dielectric application, amorphous oxides are preferred for the structural uniformity at nanometer scale. La[subscript x] M2−[subscript x] O3 (M = Sc, Lu or Y) films were deposited by ALD with metal amidinate precursors and H2O. Both LaScO3 and LaLuO3 films are amorphous and free of interfacial layers. Besides the structural benefits, both oxides have high dielectric constants (~23 for LaScO3 and 28 [plus or minus] 1 for LaLuO3), low leakage current density, and very few bulk traps, and are scalable to EOT[lesser than] 1 nm. La1.23Y0.77O3 films have polycrystalline structures with moderately high [kappa]~17 and low leakage current. The Poole-Frenkel mechanism is verified in the ternary oxide films by studying temperature dependence of the leakage current. For La1.1Al0.9O3 /Si, the thermal stability was evaluated by studying the interface structure evolution under different annealing conditions. It concludes that an interfacial layer forms at the temperature above 600°C and the oxygen source resides in the film. For DRAM application, ALD deposition of rutile phase TiO2 is developed for its [kappa]~70. The substrate, SnO2 and RuO2 /Ru, works as both bottom electrodes and templates for rutile TiO2 nucleation. The growth rate is ~0.3 Å/cycle and is regardless of phases and crystallinity. The crystallinity strongly depends on the substrates. High quality ruthenium thin films were deposited by ALD with bis( N,N'-di- tert -butylacetamidinato) ruthenium(II) dicarbonyl and O2. The film crystallinity, density, and resistivity strongly depend on the O2 exposure. As E[subscript o] [approximate] E[subscript max], the films have the lowest resistivity, highest density and best crystallinity (~10[mu][omega]·cm, ~12.3 g/cm3 and grain size comparable to film thickness). When E[subsript o] [greater than] E [subscript max], films peel off from the substrate due to the recombinative desorption of O2. The impurities are mainly O (0.27[plus or minus]0.03at.%) and C (0.30[plus or minud0.05at.%). The C is mostly segregated along grain boundaries, which are less dense than the grain interiors.

Atomic Layer Deposition for Continued Scaling of Interconnects

Download Atomic Layer Deposition for Continued Scaling of Interconnects PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 0 pages
Book Rating : 4.:/5 (134 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition for Continued Scaling of Interconnects by : Michael Christopher Breeden

Download or read book Atomic Layer Deposition for Continued Scaling of Interconnects written by Michael Christopher Breeden and published by . This book was released on 2022 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: With the need for more compute performance, smaller semiconductor device dimensions and denser interconnections have required the use of ultra-thin layers conformally deposited in three-dimensional structures such as the gate-all-around MOSFET and in high-aspect-ratio interconnect vias. Atomic layer deposition (ALD), with the ability to precisely control thickness as well as selectively deposit layers on different materials, is used in current process nodes for gate oxides and barrier layers, but new channel materials such as silicon-germanium (SiGe) and new interconnect metals such as cobalt (Co) and ruthenium (Ru) require new surface preparation techniques and ALD processes.Chapter 2 of this dissertation describes the passivation of defects in gate oxides deposited by ALD in SiGe-channel devices. SiGe's high carrier mobility shows promise for future devices, but the presence of unstable germanium oxides (GeOx) in the interface between oxide and channel results in high defect densities, limiting device performance. By nitridating the surface prior to gate oxide ALD using an RF plasma, a reduction in defect densities is demonstrated. TEM and XPS studies confirmed the formation of a GeNx interfacial layer suppressing GeOx formation during ALD, improving gate oxide nucleation and decreasing defect densities. With shrinking device dimensions, interconnect via widths correspondingly shrink. While copper has long been used for due to its low bulk resistivity, ultra-narrow (

Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces

Download Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 366 pages
Book Rating : 4.:/5 (917 download)

DOWNLOAD NOW!


Book Synopsis Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces by : Liwang Ye

Download or read book Surface Reactions During the Atomic Layer Deposition of High-[kappa] Dielectrics on Iii-v Semiconductor Surfaces written by Liwang Ye and published by . This book was released on 2014 with total page 366 pages. Available in PDF, EPUB and Kindle. Book excerpt: The quality of the dielectric/semiconductor interface is one of the most critical parameters for the fabrication of high-speed and low-power-consumption III-V semiconductor based metal-oxide-semiconductor field effect transistors (MOSFETs), as it determines the device performance. This dissertation contains investigations of the deposition and interface of binary oxide films on GaAs(100) and InAs(100) surfaces aiming at understanding the removal of the surface native oxides during certain atomic layer deposition (ALD) processes. To accomplish that, two complementary experimental approaches have been used. Initially, films were deposited in a conventional ALD reactor and characterized ex situ using spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM), and atomic force microscopy (AFM). The systems examined were Ta2O5 on GaAs(100) surfaces from pentakis(dimethylamino) tantalum (Ta(N(CH3)2)5, PDMAT) and TiO2 on GaAs(100) and InAs(100) surfaces from tetrakis(dimethylamino) titanium (Ti(N(CH3)2)4, TDMAT). For these systems, deposition at the optimal ALD temperature resulted in practically sharp interfaces. Indium oxides were found to diffuse through ~ 6 nm of TiO2 film and accumulate on the topmost film layer. For the ALD of Ta2O5 on GaAs(100) surfaces, native oxide removal was enhanced at deposition temperatures above the ALD window; for ALD of TiO2 on both GaAs(100) and InAs(100) surfaces, native oxide removal was enhanced as the deposition temperatures increased up to 250 °C, while oxidation of the interface was observed for deposition above 300 °C due to the formation of noncontinuous films. To elucidate the surface reactions occurring during the deposition, an in situ attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy apparatus was constructed and used to investigate the surface reactions during the ALD of TiO2 and HfO2 on GaAs(100) surfaces. The existence of a ligand exchange mechanism was verified for both processes. Additionally, the formation of methylmethyleneimine (CH3N=CH2, MMI) was observed, indicating the existence of a beta hydride reaction pathway. Additionally, at 275 °C continuous removal of arsenic oxides was observed during the first 20 process cycles, an observation that challenges the prevailing understanding of the native oxide removal and indicates a much more complex surface chemistry.

Atomic Layer Deposited Metal Oxides for Semiconductors Used in Aqueous Solutions

Download Atomic Layer Deposited Metal Oxides for Semiconductors Used in Aqueous Solutions PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : pages
Book Rating : 4.:/5 (768 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposited Metal Oxides for Semiconductors Used in Aqueous Solutions by : Yi Wei Chen

Download or read book Atomic Layer Deposited Metal Oxides for Semiconductors Used in Aqueous Solutions written by Yi Wei Chen and published by . This book was released on 2011 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: In recent years, atomic layer deposition (ALD) has become a popular technique to deposit ultra-thin films with superior conformality and thickness control. Because of its unique surface adsorption-limited mechanism and the resulting capability of deposition at low temperatures and moderate pressures, ALD has found industrial applications in field effect transistor fabrication and coating of multilayer interconnection metallization. In this work, I have explored the potential of ALD-grown metal oxide layers in applications beyond typical electronics technologies. In particular, this research has focused on using ALD-grown metal oxides to enhance the performance and stability in aqueous solutions of biomolecular sensors and semiconducting anodes for photoelectrochemical fuel synthesis. In the biosensing application, we have replaced the SiO2 gate dielectric material typically used in high sensitivity bio-field-effect-transistors (bioFET) with high dielectric constant HfO2. The SiO2 bioFET gate dielectric suffers from poor stability and non-ideal dielectric response at the very small physical thicknesses required to achieve high sensitivity. ALD-grown HfO2, on the other hand, is capable of providing high capacitance density with a physically thicker dielectric layer, thanks to its large dielectric constant. With the ALD-HfO2 gate dielectric, biosensor switching behavior was demonstrated using capacitance-voltage measurements in water, while at the same time maintaining the desired high capacitance. In addition, we have verified bio-functionalization of the HfO2 film surface with biotin molecules via photoelectron spectroscopy, and detected streptavidin and avidin binding with capacitance-voltage analysis and molecular AFM imaging methods respectively. For the solar fuel synthesis, we have studied the behavior of ALD-TiO2 tunnel oxides that can protect heretofore unstable semiconductors, such as Si, used as photoanodes in water splitting. For several decades, intense research effort has been devoted to identifying an efficient photoelectrochemical cell for oxidizing water under solar illumination. The resulting hydrogen and oxygen can be used to store energy from the intermittent terrestrial solar resource renewably, using water as a feedstock. However, photoanode materials choices have always been limited because the water oxidation half reaction at the anode surface is highly corrosive and requires large overpotentials. As a result, only oxidation-stable wide bandgap semiconductors such as TiO2 and Fe2O3 have been used as the photoanode. These photoanodes exhibit poor efficiency, however, because of their large bandgaps. Lower bandgap semiconductors, such as Si, are capable of absorbing solar light much more efficiently, but are easily corroded during water oxidation. In this work, a silicon photoanode was passivated by a thin and pinhole-free layer of ALD-TiO2 such that efficient light absorption in the Si and the chemical stability of the TiO2 can be exploited at the same time. This ALD-grown nanocomposite photoanode has been demonstrated to perform water oxidation with low overpotentials, while at the same time maintaining good stability with hours of continuous operation. The tunneling of electronic carriers through the thin ALD-TiO2, required to sustain high oxidation rates, has also been investigated by varying the TiO2 thickness. The annealing temperature and ambient have also been investigated.

Atomic Layer Deposition of Aluminum Oxide on Crystalline Silicon

Download Atomic Layer Deposition of Aluminum Oxide on Crystalline Silicon PDF Online Free

Author :
Publisher :
ISBN 13 : 9783844030396
Total Pages : 202 pages
Book Rating : 4.0/5 (33 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of Aluminum Oxide on Crystalline Silicon by : Florian Werner

Download or read book Atomic Layer Deposition of Aluminum Oxide on Crystalline Silicon written by Florian Werner and published by . This book was released on 2014 with total page 202 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Semiconductor/dielectric Interface Engineering and Characterization

Download Semiconductor/dielectric Interface Engineering and Characterization PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 242 pages
Book Rating : 4.:/5 (97 download)

DOWNLOAD NOW!


Book Synopsis Semiconductor/dielectric Interface Engineering and Characterization by : Antonio T. Lucero

Download or read book Semiconductor/dielectric Interface Engineering and Characterization written by Antonio T. Lucero and published by . This book was released on 2016 with total page 242 pages. Available in PDF, EPUB and Kindle. Book excerpt: The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized without exposing the sample to air. This is the first time that such a system has been reported. A special air-gap C-V probe will allow top gated measurements to be made, allowing semiconductor-dielectric interfaces to be studied during device processing.

Atomic Layer Deposition of Functional Oxide Materials

Download Atomic Layer Deposition of Functional Oxide Materials PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 260 pages
Book Rating : 4.:/5 (116 download)

DOWNLOAD NOW!


Book Synopsis Atomic Layer Deposition of Functional Oxide Materials by : Pei-Yu Chen (Ph. D. in chemical engineering)

Download or read book Atomic Layer Deposition of Functional Oxide Materials written by Pei-Yu Chen (Ph. D. in chemical engineering) and published by . This book was released on 2020 with total page 260 pages. Available in PDF, EPUB and Kindle. Book excerpt: The rapid development of semiconductor industry driven by the need of electronic devices with lower cost, higher speed, lower power consumption and functionality requires employment of new semiconductors and new oxide materials. In this research, atomic layer deposition (ALD), which has become an important deposition technique for industries, was applied as the main growth method for oxide thin films. The ALD of rare earth oxides as gate dielectrics on GaN semiconductor and the ALD of functional perovskite stannates on SrTiO3 (STO) crystal were explored. GaN has been considered as a next generation semiconductor due to its superior properties. A suitable surface cleaning process and an appropriate gate oxide are necessary to improve GaN device performance. The GaN(0001) surface cleaned by both ex-situ wet cleaning (HCl and NH4OH solutions) and in-situ N2 plasma treatment was proved to be impurity-free by x-ray photoelectron spectroscopy and was ready for the following crystalline rare earth oxide growths. In this work, Er2O3 and La2O3 were selected as gate oxides on GaN(0001), and their film growth, properties, structures and interfaces with GaN were studied. The Er2O3 thin films were smooth and crystalline as-deposited on GaN(0001) with a cubic phase while the La2O3 films exhibited an island growth when deposited directly on GaN(0001). A template layer was required to form a 2-dimensional La2O3 thin film, and the La2O3 structure might be different (cubic or hexagonal) with different template layers and film thicknesses. Post-deposition annealing in vacuum could help improve the film quality, however, it would also increase the interfacial thickness. Perovskite oxides, which can offer a wide range of functionalities, are another family of materials that people are interested in. BaSnO3 and SrSnO3 have emerged as popular perovskites in the past decade owing to their wide band gap, high optical transparency, and high electron mobility at room temperature after doped with La. Cubic BaSnO3(001) and pseudocubic SrSnO3(001) were deposited by ALD for the first time and were investigated on single STO(001) crystal. BaSnO3 and SrSnO3 films were smooth and crystalline but relaxed toward the bulk structure because of the large lattice mismatches between the films and STO. Stoichiometry of the film and the post-deposition annealing condition are critical to the film properties

International Aerospace Abstracts

Download International Aerospace Abstracts PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 920 pages
Book Rating : 4.F/5 ( download)

DOWNLOAD NOW!


Book Synopsis International Aerospace Abstracts by :

Download or read book International Aerospace Abstracts written by and published by . This book was released on 1998 with total page 920 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces

Download Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces PDF Online Free

Author :
Publisher :
ISBN 13 :
Total Pages : 168 pages
Book Rating : 4.:/5 (547 download)

DOWNLOAD NOW!


Book Synopsis Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces by : Jonathon Boyd Clemens

Download or read book Atomic Scale Studies of Interface Formation Between Oxides and III-V Semiconductor Surfaces written by Jonathon Boyd Clemens and published by . This book was released on 2010 with total page 168 pages. Available in PDF, EPUB and Kindle. Book excerpt: The surface reconstructions of InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) were investigated at 300 K and 80 K. At 300 K, the surfaces reconstruct to form the group III rich [beta]3'(4 x 2) reconstructions and at 80 K, the surfaces reconstruct to form the [beta]3'(4 x 4) reconstruction. A novel hybridization scheme is required for these reconstructions. Oxidation of the InAs(0 0 1)-(4 x 2) surface by O2 was studied and it was determined that this occurs via an autocatalytic process, displacing surface As. Oxidation is initiated on the group III rows of the surface, but the autocatalysis is in competition with a thermodynamic stability that limits the size of oxidation sites in the low coverage regime. Two methods for the formation of an interface between the high- & kappa; dielectric, HfO2 on InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) were examined: reactive oxidation of Hf metal by O2 and electron beam deposition of HfO2. Reactive oxidation of Hf metal is problematic, but e− beam deposition of HfO2 showed that the p-type pinning behavior of In0.53Ga0.4--As(0 0 1)-(4 x 2) can be at least partially removed. Several oxygen atomic layer deposition (ALD) precursors were studied for the reaction (ALD initiation step) of high-[kappa] oxide growth on the InAs(0 0 1)-(4 x 2) surface : water, hydrogen peroxide, and isopropyl alcohol. All of these O precursors showed displacement reactions occurring on the III-V surfaces, but proceeded to varying degrees and by different pathways. Water displaces As, but does not fully oxidize it, HOOH etches the semiconductor surface at all temperatures, and isopropyl alcohol shows chemisorption site selectivity at 100 °C. The reaction of the ALD precursor, trimethyl aluminum (TMA) on InAs(0 0 1)-(4 x 2) and In0.53Ga0.4--As(0 0 1)-(4 x 2) was studied. An ordered, self-terminating single monolayer reaction occurs in the high coverage regime on both semiconductor surfaces. The p-type pinning behavior observed on the clean In0.53Ga0.4--As(0 0 1)-(4 x 2) surface is removed upon formation of the TMA-induced reaction; the surface was passivated for Al2O3 growth via metal-first ALD initiation. The major surface analytical techniques used were scanning tunneling microscopy and spectroscopy, low energy electron diffraction, Auger electron spectroscopy, and x-ray photoelectron spectroscopy.