Algorithms for VLSI Physical Design Automation

Download Algorithms for VLSI Physical Design Automation PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461523516
Total Pages : 554 pages
Book Rating : 4.4/5 (615 download)

DOWNLOAD NOW!


Book Synopsis Algorithms for VLSI Physical Design Automation by : Naveed A. Sherwani

Download or read book Algorithms for VLSI Physical Design Automation written by Naveed A. Sherwani and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 554 pages. Available in PDF, EPUB and Kindle. Book excerpt: Algorithms for VLSI Physical Design Automation, Second Edition is a core reference text for graduate students and CAD professionals. Based on the very successful First Edition, it provides a comprehensive treatment of the principles and algorithms of VLSI physical design, presenting the concepts and algorithms in an intuitive manner. Each chapter contains 3-4 algorithms that are discussed in detail. Additional algorithms are presented in a somewhat shorter format. References to advanced algorithms are presented at the end of each chapter. Algorithms for VLSI Physical Design Automation covers all aspects of physical design. In 1992, when the First Edition was published, the largest available microprocessor had one million transistors and was fabricated using three metal layers. Now we process with six metal layers, fabricating 15 million transistors on a chip. Designs are moving to the 500-700 MHz frequency goal. These stunning developments have significantly altered the VLSI field: over-the-cell routing and early floorplanning have come to occupy a central place in the physical design flow. This Second Edition introduces a realistic picture to the reader, exposing the concerns facing the VLSI industry, while maintaining the theoretical flavor of the First Edition. New material has been added to all chapters, new sections have been added to most chapters, and a few chapters have been completely rewritten. The textual material is supplemented and clarified by many helpful figures. Audience: An invaluable reference for professionals in layout, design automation and physical design.

ALGORITHMS VLSI DESIGN AUTOMATION

Download ALGORITHMS VLSI DESIGN AUTOMATION PDF Online Free

Author :
Publisher : John Wiley & Sons
ISBN 13 : 9788126508211
Total Pages : 344 pages
Book Rating : 4.5/5 (82 download)

DOWNLOAD NOW!


Book Synopsis ALGORITHMS VLSI DESIGN AUTOMATION by : Gerez

Download or read book ALGORITHMS VLSI DESIGN AUTOMATION written by Gerez and published by John Wiley & Sons. This book was released on 2006-06 with total page 344 pages. Available in PDF, EPUB and Kindle. Book excerpt: Market_Desc: · Electrical Engineering Students taking courses on VLSI systems, CAD tools for VLSI, Design Automation at Final Year or Graduate Level, Computer Science courses on the same topics, at a similar level· Practicing Engineers wishing to learn the state of the art in VLSI Design Automation· Designers of CAD tools for chip design in software houses or large electronics companies. Special Features: · Probably the first book on Design Automation for VLSI Systems which covers all stages of design from layout synthesis through logic synthesis to high-level synthesis· Clear, precise presentation of examples, well illustrated with over 200 figures· Focus on algorithms for VLSI design tools means it will appeal to some Computer Science as well as Electrical Engineering departments About The Book: Enrollments in VLSI design automation courses are not large but it's a very popular elective, especially for those seeking a career in the microelectronics industry. Already the reviewers seem very enthusiastic about the coverage of the book being a better match for their courses than available competitors, because it covers all design phases. It has plenty of worked problems and a large no. of illustrations. It's a good 'list-builder' title that matches our strategy of focusing on topics that lie on the interface between Elec Eng and Computer Science.

Handbook of Algorithms for Physical Design Automation

Download Handbook of Algorithms for Physical Design Automation PDF Online Free

Author :
Publisher : CRC Press
ISBN 13 : 0849372429
Total Pages : 1044 pages
Book Rating : 4.8/5 (493 download)

DOWNLOAD NOW!


Book Synopsis Handbook of Algorithms for Physical Design Automation by : Charles J. Alpert

Download or read book Handbook of Algorithms for Physical Design Automation written by Charles J. Alpert and published by CRC Press. This book was released on 2008-11-12 with total page 1044 pages. Available in PDF, EPUB and Kindle. Book excerpt: The physical design flow of any project depends upon the size of the design, the technology, the number of designers, the clock frequency, and the time to do the design. As technology advances and design-styles change, physical design flows are constantly reinvented as traditional phases are removed and new ones are added to accommodate changes in technology. Handbook of Algorithms for Physical Design Automation provides a detailed overview of VLSI physical design automation, emphasizing state-of-the-art techniques, trends and improvements that have emerged during the previous decade. After a brief introduction to the modern physical design problem, basic algorithmic techniques, and partitioning, the book discusses significant advances in floorplanning representations and describes recent formulations of the floorplanning problem. The text also addresses issues of placement, net layout and optimization, routing multiple signal nets, manufacturability, physical synthesis, special nets, and designing for specialized technologies. It includes a personal perspective from Ralph Otten as he looks back on the major technical milestones in the history of physical design automation. Although several books on this topic are currently available, most are either too broad or out of date. Alternatively, proceedings and journal articles are valuable resources for researchers in this area, but the material is widely dispersed in the literature. This handbook pulls together a broad variety of perspectives on the most challenging problems in the field, and focuses on emerging problems and research results.

Algorithms for VLSI Design Automation

Download Algorithms for VLSI Design Automation PDF Online Free

Author :
Publisher : John Wiley & Sons
ISBN 13 : 0471984892
Total Pages : 356 pages
Book Rating : 4.4/5 (719 download)

DOWNLOAD NOW!


Book Synopsis Algorithms for VLSI Design Automation by : Sabih H. Gerez

Download or read book Algorithms for VLSI Design Automation written by Sabih H. Gerez and published by John Wiley & Sons. This book was released on 1999-01-05 with total page 356 pages. Available in PDF, EPUB and Kindle. Book excerpt: Modern microprocessors such as Intel's Pentium chip typically contain many millions of transistors. They are known generically as Very Large-Scale Integrated (VLSI) systems, and their sheer scale and complexity has necessitated the development of CAD tools to automate their design. This book focuses on the algorithms which are the building blocks of the design automation software which generates the layout of VLSI circuits. Courses on this area are typically elective courses taken at senior undergrad or graduate level by students of Electrical and Electronic Engineering, and sometimes in Computer Science, or Computer Engineering.

Practical Problems in VLSI Physical Design Automation

Download Practical Problems in VLSI Physical Design Automation PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1402066279
Total Pages : 292 pages
Book Rating : 4.4/5 (2 download)

DOWNLOAD NOW!


Book Synopsis Practical Problems in VLSI Physical Design Automation by : Sung Kyu Lim

Download or read book Practical Problems in VLSI Physical Design Automation written by Sung Kyu Lim and published by Springer Science & Business Media. This book was released on 2008-07-31 with total page 292 pages. Available in PDF, EPUB and Kindle. Book excerpt: Practical Problems in VLSI Physical Design Automation contains problems and solutions related to various well-known algorithms used in VLSI physical design automation. Dr. Lim believes that the best way to learn new algorithms is to walk through a small example by hand. This knowledge will greatly help understand, analyze, and improve some of the well-known algorithms. The author has designed and taught a graduate-level course on physical CAD for VLSI at Georgia Tech. Over the years he has written his homework with such a focus and has maintained typeset version of the solutions.

VLSI Physical Design: From Graph Partitioning to Timing Closure

Download VLSI Physical Design: From Graph Partitioning to Timing Closure PDF Online Free

Author :
Publisher : Springer Nature
ISBN 13 : 3030964159
Total Pages : 329 pages
Book Rating : 4.0/5 (39 download)

DOWNLOAD NOW!


Book Synopsis VLSI Physical Design: From Graph Partitioning to Timing Closure by : Andrew B. Kahng

Download or read book VLSI Physical Design: From Graph Partitioning to Timing Closure written by Andrew B. Kahng and published by Springer Nature. This book was released on 2022-06-14 with total page 329 pages. Available in PDF, EPUB and Kindle. Book excerpt: The complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software needs a high-level understanding of the underlying mathematical models and algorithms. In addition, a developer of such software must have a keen understanding of relevant computer science aspects, including algorithmic performance bottlenecks and how various algorithms operate and interact. This book introduces and compares the fundamental algorithms that are used during the IC physical design phase, wherein a geometric chip layout is produced starting from an abstract circuit design. This updated second edition includes recent advancements in the state-of-the-art of physical design, and builds upon foundational coverage of essential and fundamental techniques. Numerous examples and tasks with solutions increase the clarity of presentation and facilitate deeper understanding. A comprehensive set of slides is available on the Internet for each chapter, simplifying use of the book in instructional settings. “This improved, second edition of the book will continue to serve the EDA and design community well. It is a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools and design the most advanced micro-electronics.” Dr. Leon Stok, Vice President, Electronic Design Automation, IBM Systems Group “This is the book I wish I had when I taught EDA in the past, and the one I’m using from now on.” Dr. Louis K. Scheffer, Howard Hughes Medical Institute “I would happily use this book when teaching Physical Design. I know of no other work that’s as comprehensive and up-to-date, with algorithmic focus and clear pseudocode for the key algorithms. The book is beautifully designed!” Prof. John P. Hayes, University of Michigan “The entire field of electronic design automation owes the authors a great debt for providing a single coherent source on physical design that is clear and tutorial in nature, while providing details on key state-of-the-art topics such as timing closure.” Prof. Kurt Keutzer, University of California, Berkeley “An excellent balance of the basics and more advanced concepts, presented by top experts in the field.” Prof. Sachin Sapatnekar, University of Minnesota

VLSI Physical Design Automation

Download VLSI Physical Design Automation PDF Online Free

Author :
Publisher : World Scientific
ISBN 13 : 9789810238834
Total Pages : 506 pages
Book Rating : 4.2/5 (388 download)

DOWNLOAD NOW!


Book Synopsis VLSI Physical Design Automation by : Sadiq M. Sait

Download or read book VLSI Physical Design Automation written by Sadiq M. Sait and published by World Scientific. This book was released on 1999 with total page 506 pages. Available in PDF, EPUB and Kindle. Book excerpt: &Quot;VLSI Physical Design Automation: Theory and Practice is an essential introduction for senior undergraduates, postgraduates and anyone starting work in the field of CAD for VLSI. It covers all aspects of physical design, together with such related areas as automatic cell generation, silicon compilation, layout editors and compaction. A problem-solving approach is adopted and each solution is illustrated with examples. Each topic is treated in a standard format: Problem Definition, Cost Functions and Constraints, Possible Approaches and Latest Developments."--BOOK JACKET.

Algorithms and Data Structures in VLSI Design

Download Algorithms and Data Structures in VLSI Design PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 3642589405
Total Pages : 271 pages
Book Rating : 4.6/5 (425 download)

DOWNLOAD NOW!


Book Synopsis Algorithms and Data Structures in VLSI Design by : Christoph Meinel

Download or read book Algorithms and Data Structures in VLSI Design written by Christoph Meinel and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 271 pages. Available in PDF, EPUB and Kindle. Book excerpt: One of the main problems in chip design is the enormous number of possible combinations of individual chip elements within a system, and the problem of their compatibility. The recent application of data structures, efficient algorithms, and ordered binary decision diagrams (OBDDs) has proven vital in designing the computer chips of tomorrow. This book provides an introduction to the foundations of this interdisciplinary research area, emphasizing its applications in computer aided circuit design.

Electronic Design Automation

Download Electronic Design Automation PDF Online Free

Author :
Publisher : Morgan Kaufmann
ISBN 13 : 0080922007
Total Pages : 971 pages
Book Rating : 4.0/5 (89 download)

DOWNLOAD NOW!


Book Synopsis Electronic Design Automation by : Laung-Terng Wang

Download or read book Electronic Design Automation written by Laung-Terng Wang and published by Morgan Kaufmann. This book was released on 2009-03-11 with total page 971 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book provides broad and comprehensive coverage of the entire EDA flow. EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits. Anyone who needs to learn the concepts, principles, data structures, algorithms, and architectures of the EDA flow will benefit from this book. Covers complete spectrum of the EDA flow, from ESL design modeling to logic/test synthesis, verification, physical design, and test - helps EDA newcomers to get "up-and-running" quickly Includes comprehensive coverage of EDA concepts, principles, data structures, algorithms, and architectures - helps all readers improve their VLSI design competence Contains latest advancements not yet available in other books, including Test compression, ESL design modeling, large-scale floorplanning, placement, routing, synthesis of clock and power/ground networks - helps readers to design/develop testable chips or products Includes industry best-practices wherever appropriate in most chapters - helps readers avoid costly mistakes

Analog VLSI Design Automation

Download Analog VLSI Design Automation PDF Online Free

Author :
Publisher : CRC Press
ISBN 13 : 1135515433
Total Pages : 240 pages
Book Rating : 4.1/5 (355 download)

DOWNLOAD NOW!


Book Synopsis Analog VLSI Design Automation by : Sina Balkir

Download or read book Analog VLSI Design Automation written by Sina Balkir and published by CRC Press. This book was released on 2003-06-27 with total page 240 pages. Available in PDF, EPUB and Kindle. Book excerpt: The explosive growth and development of the integrated circuit market over the last few years have been mostly limited to the digital VLSI domain. The difficulty of automating the design process in the analog domain, the fact that a general analog design methodology remained undefined, and the poor performance of earlier tools have left the analog

Algorithmic Aspects of VLSI Layout

Download Algorithmic Aspects of VLSI Layout PDF Online Free

Author :
Publisher : World Scientific
ISBN 13 : 981021488X
Total Pages : 411 pages
Book Rating : 4.8/5 (12 download)

DOWNLOAD NOW!


Book Synopsis Algorithmic Aspects of VLSI Layout by : Majid Sarrafzadeh

Download or read book Algorithmic Aspects of VLSI Layout written by Majid Sarrafzadeh and published by World Scientific. This book was released on 1993 with total page 411 pages. Available in PDF, EPUB and Kindle. Book excerpt: In the past two decades, research in VLSI physical design has been directed toward automation of layout process. Since the cost of fabricating a circuit is a fast growing function of the circuit area, circuit layout techniques are developed with an aim to produce layouts with small areas. Other criteria of optimality such as delay and via minimization need to be taken into consideration. This book includes 14 articles that deal with various stages of the VLSI layout problem. It covers topics including partitioning, floorplanning, placement, global routing, detailed routing and layout verification. Some of the chapters are review articles, giving the state-of-the-art of the problems related to timing driven placement, global and detailed routing, and circuit partitioning. The rest of the book contains research articles, giving recent findings of new approaches to the above-mentioned problems. They are all written by leading experts in the field. This book will serve as good references for both researchers and professionals who work in this field.

Algorithms For Vlsi Physical Design Automation, 3E

Download Algorithms For Vlsi Physical Design Automation, 3E PDF Online Free

Author :
Publisher :
ISBN 13 : 9788181283177
Total Pages : 608 pages
Book Rating : 4.2/5 (831 download)

DOWNLOAD NOW!


Book Synopsis Algorithms For Vlsi Physical Design Automation, 3E by : Sherwani

Download or read book Algorithms For Vlsi Physical Design Automation, 3E written by Sherwani and published by . This book was released on 2005-01-01 with total page 608 pages. Available in PDF, EPUB and Kindle. Book excerpt:

Introduction to Analog VLSI Design Automation

Download Introduction to Analog VLSI Design Automation PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461315352
Total Pages : 191 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Introduction to Analog VLSI Design Automation by : Mohammed Ismail

Download or read book Introduction to Analog VLSI Design Automation written by Mohammed Ismail and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 191 pages. Available in PDF, EPUB and Kindle. Book excerpt: Very large scale integration (VLSI) technologies are now maturing with a current emphasis toward submicron structures and sophisticated applications combining digital as well as analog circuits on a single chip. Abundant examples are found on today's advanced systems for telecom munications, robotics, automotive electronics, image processing, intelli gent sensors, etc .. Exciting new applications are being unveiled in the field of neural computing where the massive use of analog/digital VLSI technologies will have a significant impact. To match such a fast technological trend towards single chip ana logi digital VLSI systems, researchers worldwide have long realized the vital need of producing advanced computer aided tools for designing both digital and analog circuits and systems for silicon integration. Ar chitecture and circuit compilation, device sizing and the layout genera tion are but a few familiar tasks on the world of digital integrated circuit design which can be efficiently accomplished by matured computer aided tools. In contrast, the art of tools for designing and producing analog or even analogi digital integrated circuits is quite primitive and still lack ing the industrial penetration and acceptance already achieved by digital counterparts. In fact, analog design is commonly perceived to be one of the most knowledge-intensive design tasks and analog circuits are still designed, largely by hand, by expert intimately familiar with nuances of the target application and integrated circuit fabrication process. The techniques needed to build good analog circuits seem to exist solely as expertise invested in individual designers.

Combinatorial Algorithms for Integrated Circuit Layout

Download Combinatorial Algorithms for Integrated Circuit Layout PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 3322921069
Total Pages : 715 pages
Book Rating : 4.3/5 (229 download)

DOWNLOAD NOW!


Book Synopsis Combinatorial Algorithms for Integrated Circuit Layout by :

Download or read book Combinatorial Algorithms for Integrated Circuit Layout written by and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 715 pages. Available in PDF, EPUB and Kindle. Book excerpt: The last decade has brought explosive growth in the technology for manufac turing integrated circuits. Integrated circuits with several hundred thousand transistors are now commonplace. This manufacturing capability, combined with the economic benefits of large electronic systems, is forcing a revolution in the design of these systems and providing a challenge to those people in terested in integrated system design. Modern circuits are too complex for an individual to comprehend completely. Managing tremendous complexity and automating the design process have become crucial issues. Two groups are interested in dealing with complexity and in developing algorithms to automate the design process. One group is composed of practi tioners in computer-aided design (CAD) who develop computer programs to aid the circuit-design process. The second group is made up of computer scientists and mathemati'::~l\ns who are interested in the design and analysis of efficient combinatorial aJ::,orithms. These two groups have developed separate bodies of literature and, until recently, have had relatively little interaction. An obstacle to bringing these two groups together is the lack of books that discuss issues of importance to both groups in the same context. There are many instances when a familiarity with the literature of the other group would be beneficial. Some practitioners could use known theoretical results to improve their "cut and try" heuristics. In other cases, theoreticians have published impractical or highly abstracted toy formulations, thinking that the latter are important for circuit layout.

Machine Learning in VLSI Computer-Aided Design

Download Machine Learning in VLSI Computer-Aided Design PDF Online Free

Author :
Publisher : Springer
ISBN 13 : 3030046664
Total Pages : 694 pages
Book Rating : 4.0/5 (3 download)

DOWNLOAD NOW!


Book Synopsis Machine Learning in VLSI Computer-Aided Design by : Ibrahim (Abe) M. Elfadel

Download or read book Machine Learning in VLSI Computer-Aided Design written by Ibrahim (Abe) M. Elfadel and published by Springer. This book was released on 2019-03-15 with total page 694 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book provides readers with an up-to-date account of the use of machine learning frameworks, methodologies, algorithms and techniques in the context of computer-aided design (CAD) for very-large-scale integrated circuits (VLSI). Coverage includes the various machine learning methods used in lithography, physical design, yield prediction, post-silicon performance analysis, reliability and failure analysis, power and thermal analysis, analog design, logic synthesis, verification, and neuromorphic design. Provides up-to-date information on machine learning in VLSI CAD for device modeling, layout verifications, yield prediction, post-silicon validation, and reliability; Discusses the use of machine learning techniques in the context of analog and digital synthesis; Demonstrates how to formulate VLSI CAD objectives as machine learning problems and provides a comprehensive treatment of their efficient solutions; Discusses the tradeoff between the cost of collecting data and prediction accuracy and provides a methodology for using prior data to reduce cost of data collection in the design, testing and validation of both analog and digital VLSI designs. From the Foreword As the semiconductor industry embraces the rising swell of cognitive systems and edge intelligence, this book could serve as a harbinger and example of the osmosis that will exist between our cognitive structures and methods, on the one hand, and the hardware architectures and technologies that will support them, on the other....As we transition from the computing era to the cognitive one, it behooves us to remember the success story of VLSI CAD and to earnestly seek the help of the invisible hand so that our future cognitive systems are used to design more powerful cognitive systems. This book is very much aligned with this on-going transition from computing to cognition, and it is with deep pleasure that I recommend it to all those who are actively engaged in this exciting transformation. Dr. Ruchir Puri, IBM Fellow, IBM Watson CTO & Chief Architect, IBM T. J. Watson Research Center

Artificial Intelligence And Automation

Download Artificial Intelligence And Automation PDF Online Free

Author :
Publisher : World Scientific
ISBN 13 : 981449903X
Total Pages : 545 pages
Book Rating : 4.8/5 (144 download)

DOWNLOAD NOW!


Book Synopsis Artificial Intelligence And Automation by : Nikolas G Bourbakis

Download or read book Artificial Intelligence And Automation written by Nikolas G Bourbakis and published by World Scientific. This book was released on 1998-05-05 with total page 545 pages. Available in PDF, EPUB and Kindle. Book excerpt: Contents:A New Way to Acquire Knowledge (H-Y Wang)An SPN Knowledge Representation Scheme (J Gattiker & N Bourbakis)On the Deep Structures of Word Problems and Their Construction (F Gomez)Resolving Conflicts in Inheritance Reasoning with Statistical Approach (C W Lee)Integrating High and Low Level Computer Vision for Scene Understanding (R Malik & S So)The Evolution of Commercial AI Tools: The First Decade (F Hayes-Roth)Reengineering: The AI Generation — Billions on the Table (J S Minor Jr)An Intelligent Tool for Discovering Data Dependencies in Relational DBS (P Gavaskar & F Golshani)A Case-Based Reasoning (CBR) Tool to Assist Traffic Flow (B Das & S Bayles)A Study of Financial Expert System Based on Flops (T Kaneko & K Takenaka)An Associative Data Parallel Compilation Model for Tight Integration of High Performance Knowledge Retrieval and Computation (A K Bansal)Software Automation: From Silly to Intelligent (J-F Xu et al.)Software Engineering Using Artificial Intelligence: The Knowledge Based Software Assistant (D White)Knowledge Based Derivation of Programs from Specifications (T Weight et al.)Automatic Functional Model Generation for Parallel Fault Design Error Simulations (S-E Chang & S A Szygenda)Visual Reverse Engineering Using SPNs for Automated Diagnosis and Functional Simulation of Digital Circuits (J Gattiker & S Mertoguno)The Impact of AI in VLSI Design Automation (M Mortazavi & N Bourbakis)The Automated Acquisition of Subcategorizations of Verbs, Nouns and Adjectives from Sample Sentences (F Gomez)General Method for Planning and Rendezvous Problems (K I Trovato)Learning to Improve Path Planning Performance (P C Chen)Incremental Adaptation as a Method to Improve Reactive Behavior (A J Hendriks & D M Lyons)An SPN-Neural Planning Methodology for Coordination of Multiple Robotic Arms with Constrained Placement (N Bourbakis & A Tascillo) Readership: Computer scientists, artificial intelligence practitioners and robotics users. keywords:

Simulated Annealing for VLSI Design

Download Simulated Annealing for VLSI Design PDF Online Free

Author :
Publisher : Springer Science & Business Media
ISBN 13 : 1461316774
Total Pages : 206 pages
Book Rating : 4.4/5 (613 download)

DOWNLOAD NOW!


Book Synopsis Simulated Annealing for VLSI Design by : D.F. Wong

Download or read book Simulated Annealing for VLSI Design written by D.F. Wong and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 206 pages. Available in PDF, EPUB and Kindle. Book excerpt: This monograph represents a summary of our work in the last two years in applying the method of simulated annealing to the solution of problems that arise in the physical design of VLSI circuits. Our study is experimental in nature, in that we are con cerned with issues such as solution representations, neighborhood structures, cost functions, approximation schemes, and so on, in order to obtain good design results in a reasonable amount of com putation time. We hope that our experiences with the techniques we employed, some of which indeed bear certain similarities for different problems, could be useful as hints and guides for other researchers in applying the method to the solution of other prob lems. Work reported in this monograph was partially supported by the National Science Foundation under grant MIP 87-03273, by the Semiconductor Research Corporation under contract 87-DP- 109, by a grant from the General Electric Company, and by a grant from the Sandia Laboratories.